פרויקטי גמר - הנדסת חשמל - המעבדה לננו-אלקטרוניקה תש"פ

501  Logic Embedding in Sequential Elements

שיבוץ לוגיקה באלמנטים סדרתיים

שם המנחה: Daniel Vana

אחראי אקדמי: Adam Teman

הרקע לפרויקט:

The continual push for higher clock rates and higher performance has led microprocessor designers in recent years to build superpipelined machines with multiple functional units that can execute operations concurrently. High clock rates in these machines are often achieved with fine granularity pipelining, for which there are relatively few levels of logic per pipeline stage. One direct consequence of this design trend is that the pipeline overhead is becoming more significant. This pipeline overhead is primarily due to the latency of the flip-flop or latch used and the clock skew of the system. While the clock skew varies and in some cases can be used constructively (e.g., routing the clock in the same direction of the data), the latency of the flip-flops cannot be hidden.

Another consequence of the aforementioned trend is that the number of flip-flops in the system has increased dramatically. From a few thousand flip-flops in early designs, several tens of thousands of flip-flops is not an uncommon number in current designs. Clearly, an efficient flip-flop design, where the tradeoffs among speed, area, and power are well balanced, with logic embedded, is of fundamental importance.

מטרת הפרויקט:

Suggesting an improvement in prior art methodology of logic embedding into sequential elements or improved logic-embedded sequential elements.

Performing circuit-level electrical simulations, and demonstrating its benefits via a case study.

תכולת בפרויקט:

The project involves studying one prior-art methodology of logic embedding or a logic-embedded sequential element, suggesting an improvement, performing circuit-level electrical simulations, and if time permits, taping it out.

דרישות:

  • 83308 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 83313 מעגלים משולבים ספרתיים.

מקורות:

https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=760383

502 Efficient Multiplication Accelerators for RISC-V

תכנון מאיצי מכפלים יעילים לRISC-V

שם המנחה: Or Maltabashi

אחראי אקדמי: Adam Teman

הרקע לפרויקט:

RISC-V (pronounced "risk-five") is an open-source hardware instruction set architecture (ISA) based on established reduced instruction set computer (RISC) principles.

Multiplication is one of the key operations among DSP applications such as neural networks, communication etc.

מטרת הפרויקט:

מטרת הפרוייקט הינה לתכנן חומרה ייעודית מבוססת פעולות הכפלה מואצות אשר תשולב בארכיטקטורת הRISC-V ותאפשר לבצע פעולות אריתמטיות נפוצות מתחום הneural networks והDSP.

תכולת בפרויקט:

הסטודנטים יתכננו מאיצי פעולת הכפלה אשר יתאימו לRISC-V וישלבו אותם בארכיטקטורת המעבד.

מאיצים אלו יבצעו את פעולות ההכפלה הנפוצות בתחומי הDSP והNeural networks ויציגו את יעילות המימוש שלהם בהיבטי הספק, מהירות ושטח.

דרישות:

  • 83612 מעגלי ומערכות VLSI דיגיטליים

מקורות:

https://riscv.org/

503  Efficient Hardware for Artificial Intelligence (AI) applications

חומרה יעילה וייעודית לאפליקציות של בינה מלאכותית

שם המנחה: Or Maltabashi

אחראי אקדמי: Adam Teman

 

הרקע לפרויקט:

In computer science, artificial intelligence (AI), sometimes called machine intelligence, is intelligence demonstrated by machines.

Artificial intelligence (AI) is one of the hot topics over the world.

In order to support the technological progress in this area, it is necessary to design dedicated hardware that will address performance and power aspects.

מטרת הפרויקט:

מטרת הפרוייקט הינה לקחת מעגלים נפוצים מתחום הAI ולשפר אותם הן בארכיטקטורה שלהם והן באופן המימוש הפיזי שלהם על מנת להביא לאופטימיזציה את הביצועים וההספק הנצרך.

תכולת בפרויקט:

הסטודנטים ילמדו על הבלוקים החומרתיים המרכזיים של הAI.

הסטודנטים יחשבו על שיטות לשיפור הארכיטקטורה הקיימת וכן יעלו רעיונות לארכיטקטורות חדשות למימושים השונים בתחום.

דרישות:

  • 83612 מעגלי ומערכות VLSI דיגיטליים

מקורות:

ניתן לקרוא על AI hardware בגוגל

504  Controlled Placement of Pipelined Dot-Product

מימוש פיזי נשלט של סכום מכפלות מצונרר

שם המנחה: Or Maltabashi

אחראי אקדמי: Adam Teman

 

הרקע לפרויקט:

Many applications, such as digital-signal processing algorithms and machine learning accelerators, extensively use Dot-Product (DP) calculations to carry out their computations.

The physically placement of these macros is done using automatic EDA tool .

The algorithms of each step in the EDA tool are written for generic random logic and do not take into account the distinctive structures of specific designs.

Therefore, significant room for optimization is left in terms of area, power consumption, tool run-time, and in some cases, even timing.

מטרת הפרויקט:

מטרת הפרוייקט הינה לתכנן ולכתוב אלגוריתם אוטומטי אשר יבצע את מיקום התאים של הDot-Product בצורה יעילה ואופטימלית תוך התחשבות בחיווט סיגנל השעון של הPipeline.

כמו כן, הוצאת תוצאות השוואתיות בין השימוש באלגוריתם לבין השימוש בכלי האוטומטי הקיים היום למדידת השיפור.

תכולת בפרויקט:

  • הסטודנטים יילמדו על מבנה הDot-Product והPipeline שלו.
  • הסטודנטים יילמדו את הflow האוטומטי של הכלים
  • הסטודנטים יתכננו וייכתבו אלגוריתם למימוש הפיזי של המאקרו.
  • הסטודנטים ייבצעו סימולציות השוואתיות למדידת השיפור עם ובלי האלגוריתם.

דרישות:

  • מעגלי ומערכות VLSI דיגטליים

מקורות:

ניתן לקרוא על dot-product ,wallace tree בגוגל.

בנוסף ניתן לקרוא על vlsi standard design flow להבנת הflow האוטומטי

505  Side-Channel attack on cryptographic systems using synchronization methods

ביצוע התקפות חומרה באמצעות זליגת מידע דרך ערוץ צד באמצעות זיהוי מאפייני תיזמון

שם המנחה: יואב ויצמן

אחראי אקדמי: יואב ויצמן

הרקע לפרויקט:

אלגוריתמים קריפטוגרפים מבוססים על מספר פעולות לינאריות ולא לינאריות המבוצעות על המידע ומפתח סודי.

אלגוריתמים אלה נחשבים בטוחים מאוד מבחינה אנליטית, אך נמצא שניתן לפרוץ אותם ביעילות באמצעות התקפות המנצלות זליגת מידע דרך ערוץ צד (כגון מדידת הספק או פליטה אלקטרומגנטית).

התקפות המבוססות על מדידת ערוצי הצד, דורשות סנכרון של פעולות ההצפנה בין הכניסות השונות, ולכן, קיימות הגנות המקשות על התוקף לחלץ מידע באופן אפקטיבי.

מטרת הפרויקט:

מטרת הפרוייקט לנתח את המאפיינים המחזוריים בספקטרום האות הנמדד וזיהוי מאפיינים המאפשרים סינכרון של האות הנמדד במערכת המדידה.

תכולת בפרויקט:

הפרוייקט כולל בניית מערכת נסיונית של התקפת הספק על מערכת הצפנה הממומשת על רכיב FPGA וביצוע מדידות של האות הזולג.

לאחר המדידה יש לבצע איפיון סטטיסטי של האות תוך סינון רעשים אלגוריתמיים ואקראיים, וחילוץ מאפייני התזמון המאפשרים התקפה. לבסוף נממש מנגנוני הגנה שונים שמטרתם לסכל את ההתקפה ונבחן את יעילותם של מנגנונים אלה כנגד תוקפים המסוגלים לבצע אנליזת תיזמון יעילה.

דרישות:

  • תכנון ספרתי
  • עיבוד אותות
  • קורסים בחומרה בטוחה

מקורות:

https://pastel.archives-ouvertes.fr/pastel-00850528/document (chpter 6)

506 Physical analysis of terahertz quantum cascade laser structures

אנליזה פיזיקאלית של מבני לייזרי קסקדה קוונטים לתחום הטרה הרץ

שם המנחה: אסף אלבו

אחראי אקדמי: אסף אלבו

הרקע לפרויקט:

לייזרי קסקדה קוונטיים מבוססי גליום ארסנייד הנם המקור היעיל ביותר לקרינת טרה-הרץ. עם זאת, טמפרטורת העבודה של לייזרים אלה מוגבלת ואינה עולה על 200 קלווין.

בשל כך כדיי להפעיל את לייזרי הקסקדה הקוונטיים לתחום הטרה-הרץ נדרש לקרר אותם לטמפרטורות נמוכות סביב 150 קלווין.

כדיי לקרר יש צורך לצמד את הלייזרים למקרר המקשה על אינטגרציה של מקורות הקרינה אלה במערכות אלקטרואופטיות.

הפרויקט יעסוק בחקר הביצועים של מבני לייזרי קסקדה קוונטיים לתחום הטרה-הרץ במטרה להבין את מנגנוני הפעולה שלהם ולאפשר מבנים אתם יהיה אפשר לעבוד בטמפרטורת החדר.

מטרת הפרויקט:

במהלך הפרויקט הסטודנטים יחקרו את ביצועיהם של מבנים שונים של לייזרי קסקדה קוונטים לתחום הטרה-הרץ בעזרת תוכנה ייעודית (נקסט-ננו).

לאחר השוואה של הפרמטרים הפיזיקליים של מספר מבנים שונים, הסטודנטים ימליצו על מבנים חדישים הצפויים לתת ביצועי טמפרטורה משופרים.

תכולת בפרויקט:

בעזרת תוכנה ייעודית (נקסט-ננו) הסטודנטים יבצעו סימולציה של מספר מבנים של לייזרי קסקדה קוונטיים לתחום הטרה-הרץ וייחלצו מהסימולציה של המבנים פרמטרים כמו עקומות זרם-מתח וספקטרום ההגבר של הלייזר במתחים וטמפרטורות שונות.

דרישות:

  • מבוא להתקני מוליכים למחצה
  • הכירות עם תוכנת מטלאב.
  • כישורי מחשב וסימולציה.

מקורות:

https://www.nextnano.com/customer/tutorials.php

507  Analysis of nitride-based terahertz quantum cascade lasers

חקר לייזרי קסקדה קוונטים מבוססי ניטרידים לתחום הטרה-הרץ

שם המנחה: אסף אלבו

אחראי אקדמי: אסף אלבו

הרקע לפרויקט:

טמפרטורת העבודה של לייזרי קסקדה קוונטיים מבוססי גליום ארסנייד מוגבלת ואינה עולה על 200 קלווין.

אחד הגורמים מגבילים הינה האנרגיה הנמוכה ליצירת פונונים בחומר זה.

בגליום ניטריד לעומת זאת אנרגיה זו גבוהה פי שלוש מזו של גליום ארסנייד.

בשל כך נחזה שלייזרי קסקדה קוונטיים מבוססי גליום ניטריד יוכלו לעבוד בטמפרטורת החדר.

הפרויקט יעסוק בחקר הביצועים של מבני לייזרי קסקדה קוונטיים מבוססי גליום ניטריד לתחום הטרה-הרץ במטרה לאפשר מבנים איתם יהיה אפשר לעבוד בטמפרטורת החדר.

מטרת הפרויקט:

במהלך הפרויקט בעזרת תוכנה ייעודית (נקסט-ננו) הסטודנטים יחקרו את ביצועיהם של מבנים שונים של לייזרי קסקדה קוונטים מבוססי גליום ניטריד לתחום הטרה-הרץ.

לאחר השוואה של הפרמטרים הפיזיקליים של מספר מבנים שונים, הסטודנטים ימליצו על מבנים הצפויים לתת ביצועי טמפרטורה טובים.

תכולת בפרויקט:

בעזרת תוכנה ייעודית (נקסט-ננו) הסטודנטים יבצעו סימולציה של מספר מבנים של לייזרי קסקדה קוונטיים מבוססי גליום ניטריד לתחום הטרה-הרץ וייחלצו מהסימולציה של המבנים פרמטרים כמו עקומות זרם-מתח וספקטרום ההגבר של הלייזר במתחים וטמפרטורות שונות.

דרישות:

  • מבוא להתקני מוליכים למחצה
  • הכירות עם תוכנת מטלאב.
  • כישורי מחשב וסימולציה.

מקורות:

https://www.nextnano.com/customer/tutorials.php

508  Guided Physical Implementation of Cordic rotation Unit

מימוש פיזי נשלט של יחידת חישוב קואורדינטות מבוססת תאים סטנדרטיים

שם המנחה: חנן מרינברג

אחראי אקדמי: ד"ר אדם תימן

הרקע לפרויקט:

CORDIC is an iterative algorithm for calculating trig functions including sine, cosine, magnitude and phase. It is particularly suited to hardware implementations because it does not require any multiplies.

מטרת הפרויקט:

בפרוייקט נממש יחידת Cordic יחודית אשר עבורה נפתח אלגוריתמי place and route חדשים אשר ישפרו משמעותית את היחידה בהיבטי מהירות, הספק ושטח אשר לא מושגים בשימוש בכלים האוטומטיים. במטרה להשתלב ביישום פיזי של ארכיטקטורת מעבד ה RISC-V המתפתחת במעבדות ננו בבר אילן.

תכולת בפרויקט:

בפרוייקט תצטרכו ללמוד היטב את מבנה היחידה, לעבוד על האלגוריתמים לפיתוח, לפתח ארכיטקטורת חומרה מתאימה, וליישמה. להשתמש בכלי CAD מתקדמים בעזרת כלי חדשני למיקום מונחה שפיתחנו בבר-אילן.

דרישות:

  • מעגלים אלקטרוניים ספרתיים 83-308.
  • מעגלים משולבים ספרתיים 83-313

מקורות:

https://en.wikipedia.org/wiki/CORDIC

509  Design and implementation of a bit cell for controlled placement Multi-Ported Standard Cell Memories

תכנון ויישום תא זיכרון מורכב עבור ארכיטקטורת זיכרון מרובה גישות מונחה מיקום

שם המנחה: חנן מרינברג

אחראי אקדמי: ד"ר אדם תימן

הרקע לפרויקט:

זיכרונות הינן אבן הבניין המרכזי בשבבים אלקטרוניים כיום וממומשים במגוון טכנולוגיות עבור צרכים שונים. בפרויקט זה, נתמקד בזיכרונות מרובי גישות הממומשים באמצעות תאים סטנדרטיים.

מטרת הפרויקט:

בפרויקט נפתח יחידת זיכרון מורכבת ייחודית, המותאמת לבניית זיכרונות אלה. הפרוייקט יכלול חשיבה ותכנון של יחידה המכילה יחידת זיכרון, לוגיקה למספר פורטים לקריאה ולוגיקה למספר פורטים לכתיבה.

תכולת בפרויקט:

בפרויקט תצטרכו לתכנן את מבנה היחידה, וליישמה. במטרה לשלב בארכיטקטורת זיכרון מרובה גישות המשתמשת בכלי CAD מתקדמים ובעזרת כלי חדשני למיקום מונחה שפיתחנו בבר-אילן.

דרישות:

  • מעגלים אלקטרוניים ספרתיים 83-308.
  • מעגלים משולבים ספרתיים 83-313

מקורות:

Teman, et al. "Power, Area, and Performance Optimization of Standard Cell Memory Arrays Through Controlled Placement"

510  Cryogenic Analog Circuits for Infrared Imagers

מעגלים אנלוגיים קריוגנים לחיישנים בתחום אינפרא אדום

שם המנחה: Prof. Yossie Shor

אחראי אקדמי: Prof. Yossie Shor

הרקע לפרויקט:

Infrared imagers are utilized to detect the presence of human beings for security and autonomous vehicle applications.

The thermal radiation emitted by the human body can be detected using this type of imager. However, it is critical to reduce the dark current of the imager, so these sensors are generally cooled to very low temperature (77K).

At these temperatures, the device behavior of transistors is completely different than normal operation. In this project, you will design analog circuits which support the IR imagers.

There are several types of circuits which are required, such as sense amplifiers, thermal sensors, bandgap references and others.

During the course of the project, you will analyze the modeling behavior of the transistors at these low temperatures and design one of the required circuits.

As there are several circuits required, there can be more than one project in this category.

מטרת הפרויקט:

To develop circuits for operation at low temperatures (77K) for infrared imagers

תכולת בפרויקט:

In this project you will design, simulate and test analog and digital circuits for operation at these low temperatures.

The successful conclusion of the project may lead to a manufactured chip and an academic publication.

דרישות:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים - מומלץ

מקורות:

A. Teman, “Replica Technique for Adaptive Refresh Timing of Gain-Cell-Embedded DRAM”, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 61, NO. 4, APRIL 2014, pp. 259

511  Voltage Level Detector for IOT (Internet of Things) near V-threshold computing

גלאי רמות מתח לרכיבים אולטרה חסכוניים המתפקדים קרוב ל V-threshold עבור תעשיית IOT

שם המנחה: Asaf Feldman

אחראי אקדמי: Prof. Yossie Shor

הרקע לפרויקט:

There is a push to improve energy efficiency in the internet of things (IOT), which requires IC operation very close to the threshold voltage (Vth).

This circuit detects that the supply voltage of the chip has reached a reasonable level, close to Vth which enables good operation.

The challenge in this circuit is that it needs to function at very low voltage and low currents to be attractive for IOT.

We already have a design in our group which is capable of doing this.

In this project, the 2nd generation of this design will be developed with the goals of reducing size, improving accuracy and saving power.

מטרת הפרויקט:

To develop a low voltage, low current voltage level detector for IOT.

תכולת בפרויקט:

In this project you will design, simulate and possibly test analog circuits for operation at these low voltages/currents.

The successful conclusion of the project may lead to a manufactured chip and an academic publication.

דרישות:

  • 83303 אלקטרוניקה לינארית - חובה
  • 83325 מעבדה למעגלים אנלוגיים – חובה
  • 83308 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 83611 מעגלים משולבים אנלוגיים - מומלץ

מקורות:

J. Shor and D. Zilberman, "An Accurate Bandgap-Based Power-On-Detector for Microprocessors in 14nm CMOS" ,  IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 63, no. 5, pp. 428-433 (2016)

512  Random Number Generator for Data Encryption

מחולל מספרים אקראיים לצורכי הצפנת מידע

שם המנחה: Yizhak Shifman

אחראי אקדמי: Prof. Yossie Shor

הרקע לפרויקט:

True random numbers are essential in every network-connected modern computing system. The use of random numbers underlies most of the cryptographic algorithms used in modern communications. A random number is the best known method to generate an unpredictable cryptographic key. Although pseudo random numbers could be generated utilizing various simple schemes, true random numbers are much more secure and thus widely preferred by the industry. True Random Number Generators (TRNGs) are electrical circuits integrated within the system that generate sequences of random bits. To extract these bits, random noise processes are amplified into logic levels of “1” and “0”. In this project, the students will design a TRNG by utilizing innovative, state-of-the-art digital and analog design techniques. The circuit can be implemented in Si and fabricated, to be later measured and published in a scientific journal or a conference.

מטרת הפרויקט:

A careful and wise design may perform better than the best TRNGs published!

תכולת בפרויקט:

In this project you will design, simulate and possibly test digital and analog circuits for the TRNG application. The successful conclusion of the project may lead to a manufactured chip and an academic publication.

דרישות:

  • 83303 אלקטרוניקה לינארית - חובה
  • 83325 מעבדה למעגלים אנלוגיים – חובה
  • 83308 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 83611 מעגלים משולבים אנלוגיים - מומלץ

מקורות:

S. K. Satpathy et al., "An All-Digital Unified Physically Unclonable Function and True Random Number Generator Featuring Self-Calibrating Hierarchical Von Neumann Extraction in 14-nm Tri-gate CMOS," in IEEE Journal of Solid-State Circuits, vol. 54, no. 4, pp. 1074-1085, April 2019.

513  Analog Neural Networks for Deep Learning

רשת נוירונים אנלוגית ללמידה עמוקה

שם המנחה: Yosef Lempel

אחראי אקדמי: Prof. Yossie Shor

הרקע לפרויקט:

Machine learning is everywhere and this is based on neural networks. These networks are constantly being optimized as the technology develops. Convolutional Neural Networks (CNN) are generally implemented using digital circuits but the MAC operations consume huge amounts of energy. There is a significant opportunity to save energy by building the neurons and summing them using analog circuits which accumulate charge. This can reduce the power by several orders of magnitude, which will open up these networks for new low-power applications.

מטרת הפרויקט:

To develop a state-of-the-art neural network using analog and digital techniques.

תכולת בפרויקט:

This project will require development of both analog and digital design skills. During the course of this project, you will design and simulate an analog neural network for deep learning using MATLAB and SPICE tools.

דרישות:

  • 83303 אלקטרוניקה לינארית - חובה
  • 83325 מעבדה למעגלים אנלוגיים – חובה
  • 83308 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 83611 מעגלים משולבים אנלוגיים - מומלץ

מקורות:

D. Bankman, et. al. “An Always-On 3.8 μJ/86% CIFAR-10 Mixed-Signal Binary CNN Processor With All Memory on Chip in 28-nm CMOS”, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 54, NO. 1, JANUARY 2019, pp. 158.

514  Compact Analog to Digital Converter based the SAR Algorithm

רשת נוירונים אנלוגית ללמידה עמוקה

שם המנחה: עטרת וירצברג

אחראי אקדמי: Prof. Yossie Shor

הרקע לפרויקט:

Analog to digital converters are used to transfer real-world information, which is analog, to the digital domain for further signal processing. In this project you will design a highly compact analog to digital converter (ADC) using the SAR (Successive Approximation Register). The SAR topology is one of the most attractive for low power and compact ADC applications. It is also a relatively simple architecture conceptually and lends itself to many types of optimizations to improve accuracy, reduce area and enable low power operation.

מטרת הפרויקט:

The goal of this project is to develop a compact low power ADC for use in sensor systems.

תכולת בפרויקט:

You will need to learn the theory of SAR and then implement the circuit in 65nm CMOS. The SAR ADC includes both analog and digital blocks, which will allow you to develop skills in both.

דרישות:

  • 83303 אלקטרוניקה לינארית - חובה
  • 83325 מעבדה למעגלים אנלוגיים – חובה
  • 83308 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 83611 מעגלים משולבים אנלוגיים - מומלץ

מקורות:

  1. Analog Integrated Circuit Design – Tony Chan Carusone, David Johns and Kenneth Martin – John Wiley Publishers. Chapters 16 and 17
  2. “A compact 10-b SAR ADC with unit-length capacitors and a passive FIR filter” P Harpe, IEEE Journal of Solid-State Circuits 54 (3), 636-645

515  Clock domain crossing synchronization

סנכרון בין שעונים

שם המנחה: צחי נוי

אחראי אקדמי: ד"ר אדם תימן

הרקע לפרויקט:

מערכות סינכרוניות מתבוססות על שעון בתדר יחיד המפעיל את כל חלקי המערכת במערכות מרובות שעונים יש צורך במנגנונים מיוחדים על מנת להעביר מידע בין האזורים השונים בצורה אמינה.

מטרת הפרויקט:

פיתוח מתודלוגיית סנכרון, מתכנון מעגל ועד סימולציות high level

תכולת בפרויקט:

לימוד הרקע, סקר ספרות, לימוד/פיתוח כלים מתמטיים לתיאור מעגל הסנכרון. תכנון סימולציה וניתוח התוצאות של המעגל המוצע.

דרישות:

  • תכן לוגי
  • מעגלים ספרתיים
  • משולבים

מקורות:

http://www.sunburst-design.com/papers/CummingsSNUG2008Boston_CDC.pdf

516  elongating Data Retention Time in dynamic memories

שיפור זמן שימור מידע בזכרונות דינמיים

שם המנחה: צחי נוי

אחראי אקדמי: ד"ר אדם תימן

הרקע לפרויקט:

אחד החסרונות של זכרונות דינמיים הוא הצורך ברענון המידע. השיטה הקלאסית לרענון נחשבת פסימיסטית ובזבזנית. בפרויקט נחפש דרכים לשפר את זמן הרענון מבלי לפגוע באמינות

מטרת הפרויקט:

יישום שיטה לשיפור זמן שימור המידע בזכרון דינאמי

תכולת בפרויקט:

לימוד הרקע, סקר ספרות, סימולציות וניתוח הזליגות והגורמים להן, הצעת דרך להקטין הזליגות או לחילופין לשערך אותן בצורה יותר מדויקת, ולבסוף תכנון זכרון עם זמן שימור ארוך יותר

דרישות:

  • מעגלים ספרתיים
  • משולבים

מקורות:

BOOK: Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip By Pascal Meinerzhagen, et al.

517  Advanced peripheral circuits and techniques for GC-eDRAM

תכנון מנגנוני פריפריה מתקדמים לזיכרונות דינאמיים

שם המנחה: רומן גולמן

אחראי אקדמי: ד"ר אדם תימן

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a dynamic storage technology that presents an alternative to standard SRAM for various applications. In this project, novel circuit techniques will be developed for GC-eDRAM based memories to improve performance, power, and area (PPA) costs.

מטרת הפרויקט:

The project outcome is a novel technique for improving the GC-eDRAM technology

תכולת בפרויקט:

This work will include the investigation of sophisticated refresh schemes, advanced write-back techniques, and others. This research project will include Virtuoso based simulation in advanced CMOS nodes

דרישות:

  • מעגלים משולבים ספרתיים 83-313
  • The project will include Virtuoso simulations and possibly layout, digital (Verilog) design and other chip design skills.

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5.

518  Improving data integrity in embedded memories by applying algorithmic/statistical methods

שיפור שימור מידע בזכרונות מוטמעים בשילוב שיטות אלגוריתמיות/סטטיסטיות

שם המנחה: רומן גולמן

אחראי אקדמי: ד"ר אדם תימן

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications. One of the drawbacks of this technology is the limited data retention time (DRT) due to parasitic leakage currents.

מטרת הפרויקט:

The project is a research project with both theoretical and implementation components, intended for both Electrical and Computer Engineering students.

תכולת בפרויקט:

In this project, the students will model the probability of cell failures and explore the possibilities of improving the DRT through algorithmic approaches mixed with circuit design techniques.

דרישות:

  • מעגלים משולבים ספרתיים 83-313
  • The work will include Matlab, and probably Verilog/Virtuoso components.

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5

519  Optimizing eDRAM array with internal refresh implementation

אופטימיזציית מערכי זכרון דינמיים עם רענון פנימי

שם המנחה: אודם הראל

אחראי אקדמי: ד"ר אדם תימן

הרקע לפרויקט:

בפרוייקט זה נעסוק ברכיבי זכרון, תחום השולט כיום בתעשייה בעל הרבה מקום לשיפור. פרוייקט זה יתבסס על עבודה קיימת בה הגענו לתוצאות ונרצה לקחת את המימוש צעד קדימה.

במהלך הפרוייקט נבצע design ברמת השערים הלוגיים במערכת virtuoso על מנת להגיע לאימפלמנטציות אופטימליים של המאקרו.

מטרת הפרויקט:

המטרה היא להגיע ל design משופר אשר עונה על הצרכים החשובים ביותר כיום בשוק - הספק, זמן ושטח. לאחר מימוש המערכת, נבצע מדידות (סימולציות) ונבצע השוואות עם שיטות קיימות בשביל להראות מימוש משופר.

תכולת בפרויקט:

תחילה נבין את פעולת מערך הזכרון יחד עם סקר ספרות על השיטות הקיימות. לאחר מכן בעזרת virtuoso נבצע סימולציות ובניית design של הארכיטקטורה אותה נרצה לממש.

דרישות:

  • מעגלים ספרתיים ו/או מעגלים משולבים.
  • ידע ה virtuoso 

מקורות:

Book: Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip

520  Advanced Gain-Cell eDRAM Array Architecture for Energy Efficient implementation

ארכיטקטורות מתקדמות של מערכי זכרונות דינמיים לחסכון בהספק

שם המנחה: אודם הראל

אחראי אקדמי: ד"ר אדם תימן

הרקע לפרויקט:

נעסוק בטכנולוגיות ננומטריות של TSMC על מנת לממש את הארכיטקטורה. נעבוד עם טכנולוגיות קיימות בתעשיה על מנת לתכנן מערכי זכרונות רלוונטים.

מטרת הפרויקט:

במהלך הפרוייקט נבין כיצד מערך זכרון עובד ונתכנן ארכיטקטורה הכוללת פריפריות ובלוקים לוגיים לתפקוד נכון של המאקרו (הזכרון). התכנון יתבסס על מחקר ובנייה בgate level במערכת virtuoso.

תכולת בפרויקט:

הסטודנטים ראשית יבצעו מחקר בנושא מערכי זכרונות דינמיים וארכיטקטורות קיימות. לאחר מכן, חשיבה ויצרתיות על מנת לממש ארכיטקטורה נכונה ויעילה לתפקוד נכון של המאקרו, תוך מתן דגש על יעילות בהספק, גורם מגביל התעשייה כיום ואספקטים נוספים כגון שטח.

דרישות:

  • מעגלים ספרתיים ו/או מעגלים משולבים.

מקורות:

Book: Gain-Cell Embedded DRAMs for Low-Power VLSI Syatems-on-Chip

521 In-memory computing using GC-eDRAM

ביצוע פעולות חישוביות בזמן קריאת זיכרון דינאמי

שם המנחה: רומן גולמן

אחראי אקדמי: ד"ר אדם תימן

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications. One of the drawbacks of this technology is the limited data retention time (DRT) due to parasitic leakage currents.

מטרת הפרויקט:

The unique features of the GC-eDRAM memories, require specialized memory block design, but also an opening for implementing in-memory logical computations with very little overhead. For example readout of an logical "NOR" function result for two adjacent memory rows can be performed in such a memory with very little overhead. In this project we aim to design a GC-eDRAM memory that will allow to perform various logical computation with data stored in the memory.

תכולת בפרויקט:

The students will participate in a development of unique memory design and architecture. They will be required to suggest and implement novel ideas in memory design and run various simulations to prove the suggested memories reliability.

דרישות:

  • Digital Integrated Circuits (83-313)

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5.

522  Qunatum error correction codes

קודים לתיקון שגיאות למחשוב קוואנטי

שם המנחה: יונתן שושן

אחראי אקדמי: פרופ' אלכס פיש

הרקע לפרויקט:

מחשוב קוואנטי מסתמך על מניפולציות של המצב הקוואנטי (לדוג' ספין אלקטרוני) של התקני qubit. מניפולציות אלה רגישות להפרעות מבחוץ ולכן נדרשת תשתית לתיקון שגיאות.

מטרת הפרויקט:

בפרויקט זה הסטודנטים ילמדו רקע תיאורטי על קודים קיימים לתיקון שגיאות ויתכננו מעגלים לוגיים המממשים קודים אלה.

תכולת בפרויקט:

ביצוע סקר ספרות בנושא קודים לתיקון שגיאות במעגלים קוונטיים (qecc). תכנון ומימוש מעגלים לוגיים מתאימים. ביצוע ניתוח ומדידות של המעגלים ובחינת חלופות לשיפור בגמישות התכנון וצריכת ההספק.

דרישות:

  • מבוא למחשוב קוונטי

מקורות:

  1. A Heterogeneous Quantum Computer Architecture, Charbon E. et al. 
  2. A. R. Calderbank and P. W. Shor. Good quantum error-correcting codes exist. Phys. Rev. A, 54(2):1098, 1996.

523  Advanced Pipeline VLSI implementation

מימוש VLSI מתקדם של מערכת מצונרת

שם המנחה: Udi Kra

אחראי אקדמי: ד"ר אדם תימן

הרקע לפרויקט:

טכניקת צינור PIPELINE מיושמת על מנת להגיע לתפוקה מקסימלית של מערכות VLSI ונפוצה במימושים סיפרתיים רבים דוגמת מעבדים, אלא שלטכניקה זו עלות גבוהה בשטח סיליקון בצריכת הספק ומורכבות רשת השעונים המציבים אתגר בפיתוח וממימוש מערכות יעילות.

מטרת הפרויקט:

הגדרת מתודולוגיות PIPELINE מתקדמת, יישום המתודולוגיה על אבן בניין מרכזי של מעבד , פיתוח כל המרכיבים הנלווים על מנת לאפשר שימוש בתוצר הפרויקט בסביבת פיתוח סטנדרטית הכוללת מודל VERILOG , תהליך סינטזה, ותשתית ורפיקציה.

תכולת בפרויקט:

חקירת מתודולוגיות PIPELINE מתקדמות המאפשרות יחס תפוקה/עלות אופטימלי ויישום המתודולוגיה על אבני בניין של מערכת עיבוד, מדידה והשוואת ביצועי התכנון לעומת מימושים נפוצים.

דרישות:

  • (83-313)

מקורות:

ימסר בהמשך

524  CMOS Image Sensor with Wide Dynamic Range

חיישן תמונה עם טווח דינאמי רחב

שם המנחה: Matan Assaf

אחראי אקדמי: פרופ' אלכס פיש

הרקע לפרויקט:

The project will deal with CMOS Image Sensors and more specifically, their wide dynamic range capabilities
It requiers good basic knowladge in Image Sensors, different wide dyanmic range schemes, and good analog and digital design knowladge

מטרת הפרויקט:

Design and fabrication of a CMOS Image Sensor with wide dynamic range capabilities

תכולת בפרויקט:

The student will work in a Virtuoso environment with the schematic and layout tools, and will help design the necessary blocks for the Image Sensor (such as ADC, readout chain, controller, pixel, etc.)

דרישות:

  • Semiconductor fundamentals (83243)
  • Semiconductor devices (83314)
  • VLSI Circuits and Systems (83612)
  • Digital Integrated Circuits (83313)

מקורות:

https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=6865061

525  CMOS Image Sensor 4CAPD design and fabrication

תכנון וייצור חיישן תמונה מסוג 4CAPD

שם המנחה: Matan Assaf

אחראי אקדמי: פרופ' אלכס פיש

הרקע לפרויקט:

The project deals with CMOS Image Sensors, Neural networks, and Neuromorphic engineering.

Design and fabrication of a CMOS Image Sensor with wide dynamic range capabilities

מטרת הפרויקט:

The main goal of the project is to design and fabricate a working Image sensor with the 4CAPD pixel block.

A secondary goal is to combine said design with neural network and neuromorphic engineering elements to achieve a 'smart image sensor' that supports neural networks type computations.

תכולת בפרויקט:

The project will include layout design of the 4CAPD CMOS Image Sensor, as well as SPICE modeling of the device based on TCAD simulations (to be performed by the instructor), and Virtuoso logical simulations.

The project will also include implementation of some Neural Network elements in the image sensor pixel array.

דרישות:

  • Semiconductor fundamentals (83243)
  • Semiconductor devices (83314)
  • VLSI Circuits and Systems (83612)
  • Digital Integrated Circuits (83313)

מקורות:

https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5749690

526  New logic family for high performance and low energy consumption

פיתוח לוגיקה חדשה לביצועים גבוהים וצריכת אנרגיה נמוכה

שם המנחה: ‫נתנאל שביט‬‎

אחראי אקדמי: פרופ' אלכס פיש

הרקע לפרויקט:

Dual Mode Logic הינה לוגיקה שפותחה בקבוצה שלנו, המשלבת בין לוגיקת cmos הנפוצה, ובין לוגיקה דינמית, ברמת השער (nand/nor וכדומה).

בלוגיקה זו קיימים שני מצבי פעולה:

  1. מצב סטטי- שבו יש שמירת אנרגיה וביצועים נמוכים.
  2. מצב דינמי- שבו הביצועים גבוהים אך גם צריכת האנרגיה גבוהה.

שילוב בין המצבים מביא לשיפור הן במהירות הפעולה והן בצריכת ההספק.

כמו כן קיימים רעיונות נוספים למשפחות לוגיות שיכולות להביא לשיפור בביצועים.

מטרת הפרויקט:

בפרויקט זה ננסה לפתח משפחה לוגית חדשה שתציג ביצועים משופרים.

תכולת בפרויקט:

סקירת המשפחות הלוגיות הקיימות. בחינת אפשרויות שונות לשילוב משפחות לוגיות ברמת השער. ניסיון להוסיף לDML מצב פעולה שלישי של ultra low power. העלאת רעיונות שונים לשיפור.

העבודה באמצעות תוכנת הvirtuoso.

דרישות:

  • מעגלים אלקטרונים ספרתיים
  • מעבדה למעגלים ספרתיים

מקורות:

  1. http://ieeexplore.ieee.org/abstract/document/6220906/
  2. https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=8646267

527  From Dual Mode Logic to Multi Mode to overcome environment effects

שימוש בלוגיקת DML על מנת להתגבר על פגיעה בביצועים באמצעות מעבר לmulti mode

שם המנחה: ‫ ענבל סטנגר, נתנאל שביט‬‎

אחראי אקדמי: פרופ' אלכס פיש

הרקע לפרויקט:

Dual Mode Logic הינה לוגיקה שפותחה בקבוצה שלנו, המשלבת בין לוגיקת cmos הנפוצה, ובין לוגיקה דינמית, ברמת השער (nand/nor וכדומה).

בלוגיקה זו קיימים שני מצבי פעולה:

  1. מצב סטטי- שבו יש שמירת אנרגיה וביצועים נמוכים.
  2. מצב דינמי- שבו הביצועים גבוהים אך גם צריכת האנרגיה גבוהה.

שילוב בין המצבים מביא לשיפור הן במהירות הפעולה והן בצריכת ההספק.

במהלך ייצור ופעולה של מעגלי VLSI ישנם רעשי רקע שמשפיעים על ביצועי המעגל (שינויי vvd או טמפרטורה, process varietions, ועוד).

באמצעות לוגיקת DML ניתן להתגבר על השפעות תופעות אלו ולשפר את כלל ביצועי המערכת, על ידי הפעלת מצב עבודה שונה על חלקים שונים של המערכת בהתאם לשינויים שהתרחשו.

מטרת הפרויקט:

הוכחת היכולת להתגבר על תופעות שונות באמצעות הפיכת טכנולוגית הDML לmulti mode.

תכולת בפרויקט:

בפרויקט זה תמומש ארכיטקטורה באמצעות לוגיקת DML, ותבחן האפשרות להתגבר על תופעות שונות באמצעות שינויי מצב הפעולה של חלקים שונים של המעגל.

דרישות:

  • מעגלים אלקטרונים ספרתיים
  • מעבדה למעגלים ספרתיים

מקורות:

  1. http://ieeexplore.ieee.org/abstract/document/6220906/
  2. https://ieeexplore.ieee.org/document/8640133

528  Compact Random Number Generator

מחולל מספרים אקראיים קומפקטי

שם המנחה: ‫ דוד צוקר זביב‬‎

אחראי אקדמי: פרופ' אלכס פיש

הרקע לפרויקט:

Encryption algorithms implemented on chips are vulnerable to power analysis attacks, where by measuring the power consumption of the circuit, the secret key can be extracted.

Many countermeasures against these attacks requires random numbers in order to work. As such, the main requirement from the random number generator (RNG) is to be robust against attacks.

However, the "level of randomness" (entropy) of the RNG is not a hard requirement.

מטרת הפרויקט:

The purpose of this project is to design novel RNG on the circuit level that is compact, distributed and have high entropy.

תכולת בפרויקט:

Iterative process of circuit design, simulations, layout, place&route, post-layout simulations and so on.

Additionally, the solution can be prototyped on an FPGA and measured with a working countermeasure.

Depending on the progress of the students, they can implement it on a real chip and publish a paper.

דרישות:

  • מעגלים אלקטרוניים ספרתיים
  • אלקטרוניקה לינארית
  • התקפות על מערכות חומרה

מקורות:

https://ieeexplore.ieee.org/document/8605708

529  Secured Memory

זכרון מוגן

שם המנחה: ‫ דוד צוקר זביב‬‎

אחראי אקדמי: פרופ' אלכס פיש

הרקע לפרויקט:

Cache memories are used in every digital system. Often, the memory holds sensitive information. Recent research show that conventional memories such as 6T SRAM leak information through the power supply, i.e. their content can be recovered by measuring the power supply of the chip.

מטרת הפרויקט:

The purpose of this project is to research the data leakage paths and to come up with new memory circuits that can reduce it.

תכולת בפרויקט:

Research, circuit design, standard digital flow.

דרישות:

  • מעגלים אלקטרוניים ספרתיים
  • מעגלים משולבים ספרתיים
  • התקפות על מערכות חומרה

מקורות:

  1. https://ieeexplore.ieee.org/document/8640163
  2. https://ieeexplore.ieee.org/document/8572791

530  Advanced Topics in Hardware Security Countermeasures

נושאים מתקדמים בהגנות על מערכות חומרה

שם המנחה: ‫ דוד צוקר זביב‬‎

אחראי אקדמי: פרופ' אלכס פיש

הרקע לפרויקט:

All encryption algorithms are implemented on a silicon die in the physical world. While the algorithm is mathematically secure, there are "side channels" the open through the physical properties of the chip. Side channels can be the power consumption, and EM radiation. By measuring the power consumption of a security chip, the secret information can be recovered.

מטרת הפרויקט:

The purpose of this project is to explore advanced topics in the field of power analysis attacks and countermeasures, mainly masking countermeasures and high order attacks.

תכולת בפרויקט:

Research of mathematical advanced mathematics concepts. Implementation of countermeasures on FPGA and running measurements in the lab.

דרישות:

  • מעגלים אלקטרוניים ספרתיים
  • התקפות על מערכות חומרה

מקורות:

https://link.springer.com/content/pdf/10.1007/978-3-642-20465-4_6.pdf

531 Physically guided digital design

תכן לוגי מונחה מימוש פיזיקלי

שם המנחה: ‫ צחי נוי‬‎

אחראי אקדמי: ד"ר אדם תימן

הרקע לפרויקט:

מחקרים רבים מראים שכלי המיקום והחיווט האוטומטיים מגיעים לתוצאות סאב-אופטימליות. למעשה, במקרים רבים ההיוריסטיקות שבנויות לתוך הכלים עלולות להביא למצב שהכלי אינו מצליח לפתור את הבעיה כלל. ביכולתו של המתכנן המכיר את המבנה, לכוון את הכלים למימוש יעיל יותר או לחילופין לדחוף את הכלים לכיוון הנכון שיהפוך את הבעיה לכריגע ואף בדרך מהירה יותר.

מאמרים רבים הראו שבשיטות ידניות ניתן להגיע לתוצאות טובות יותר מהכלים, אך הדבר מצריך מאמצים מרובים ועבודה מאפס בכל פעם שמשנים את התכן או את הטכנולוגיה, מה שהופך את התהליך הידני ללא אטרקטיבי.

מטרת הפרויקט:

הוספת אוטומציה של שיטת המיקום הידנית. במקום לבצע את המיקום ידנית, נממש הרחבה של שפת תיאור חומרה כך שתרמוז לכלי המימוש כיצד לממש. כלי שיקבל את הרמזים יבצע הצבה ראשונית על בסיס ההכוונה ולאחר מכן ימשיך על פי היוריסטיקות המקובלות.

ניתן לקבע את הנחיות המשתמש כקשיחות כלומר שלא ניתנות לשינוי, או לחילופין כדרישות רכות שמאפשרות לכלי לשנות אותם בשלבים מאוחרים. השוואה בין השיטות השונות, ללא כללים, כללים קשיחים וכללים רכים.

תכולת בפרויקט:

לימוד הרקע התיאורטי, סקר ספרות ומאמרים בנושא בדגש על מבנים רגולריים, ניסויים במימוש ידני מול אוטומטי, זיהוי החוזקות והחולשות של הכלים, פיתוח היוריסטיקה למספר מקרים בהם הכלים מתקשים, הטמעת היוריסטיקה בעזרת שפת הרחבה לשפת תיאור החומרה המאפשרת הצבה אבסולוטית ורלטיבית של תאים ומאפשר הקפדה קשיחה או לא של הכללים. השוואת השיטות השונות, הן מבחינת יכולת הכלים לפתור הבעיה, הן מבחינת שטח ותדר מושג והן מבחינת זמני ריצה.

דרישות:

  • ורילוג, VLSI (נלמד בסמסטר א בשנה ד)

מקורות:

https://ieeexplore.ieee.org/document/7058985

532  Dual Mode Logic for low energy and high performance in standard flow

לוגיקת DML לביצועים גבוהים וצריכת אנרגיה נמוכה בתהליך סטנדרטי

שם המנחה: ‫ ‫נתנאל שביט‎‬‎

אחראי אקדמי: פרופ' אלכס פיש

הרקע לפרויקט:

Dual Mode Logic הינה לוגיקה שפותחה בקבוצה שלנו, המשלבת בין לוגיקת cmos הנפוצה, ובין לוגיקה דינמית, ברמת השער (nand/nor וכדומה).

בלוגיקה זו קיימים שני מצבי פעולה:

  • מצב סטטי- שבו יש שמירת אנרגיה וביצועים נמוכים.
  • מצב דינמי- שבו הביצועים גבוהים אך גם צריכת האנרגיה גבוהה.

שילוב בין המצבים מביא לשיפור הן במהירות הפעולה והן בצריכת ההספק. עם זאת, היכולת לשילוב בין שני מצבי פעולה מהווה אתגר עבור כלי האוטומציה הרגילים.

מטרת הפרויקט:

בפרויקט זה יבחנו ויפותחו ארכיטקטורות שונות באמצעות שערי DML בעזרת שימוש בכלי התהליך הסטנדרטי.

תכולת בפרויקט:

בפרויקט מגוון אפשרויות שונות לשילוב הסטודנטים.

מספר דוגמאות:

אפשרות ראשונה היא עבודה על כלי תהליך המימוש הסטנדרטי (EDA) והתאמתם לDML. התהליך כולל קרקטריזציה של שערי DML וסינטזה של מערכת תוך שימוש בשערי DML.

אפשרות אחרת היא מימוש ארכיטקטורות שונות ע"י שימוש בvirtuoso, השוואת הביצועים בין הארכיטכטורות השונות, וניתוח יתרונות הDML כתלות בארכיטקטורות.

דרישות:

  • מעגלים אלקטרונים ספרתיים
  • מעבדה למעגלים ספרתיים
  • מעגלים משולבים ספרתיים (בחלק מהאפשרויות)
  • מעגלי ומערכות VLSI דיגיטליים (במקביל לפרויקט. בחלק מהאפשרויות)

מקורות:

  1. http://ieeexplore.ieee.org/abstract/document/6220906/
  2. https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=8646267

533  Low power design for quantum computing

תכנון מעגלים דיגיטליים דלי הספק עבור חישוב קוונטי

שם המנחה: ‫ ‫ ענבל סטנגר ויונתן שושן‬‎

אחראי אקדמי: פרופ' אלכס פיש

הרקע לפרויקט:

DML הינה טכנולוגיה שפותחה בקבוצה שלנו המשלבת בין לוגיקת cmos ללוגיקה דינאמית שמאפשרת שני מצבי עבודה- אחד לחסכון בצריכת הספק והשני למהירות גבוהה.

לצורך חישוב קוונטי נדרשות טמפרטורות נמוכות, בהן יש שינויים בתפקוד וביצועי המעגל.

נרצה לבחון מימוש בסגנון DML בטמפרטורות נמוכות מאוד (קריוגניקה) שיתאים לשימוש במעגלים קוונטים.

מטרת הפרויקט:

בניית מעגלים בתכנון לוגי חדשני הנקרא DML - Dual mode logic, החוסך באנרגיה וזמן לצורך שימוש עבור חישוב קוונטי בטמפרטורות נמוכות.

תכולת בפרויקט:

ניתוח יחידות אריתמטיות המרכיבות את מעגל החישוב הקוונטי, מימושן באמצעות לוגיקת DML ובדיקה וניתוח הביצועים בסביבת קריוגניקה.

דרישות:

  • מעגלים אלקטרונים ספרתיים
  • מעבדה למעגלים אלקטרונים ספרתיים

מקורות:

DML:
  1. https://ieeexplore.ieee.org/document/8640133
  2. https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=6514913
Cryogenic:
  1. https://ieeexplore.ieee.org/document/8036394
  2. https://ieeexplore.ieee.org/abstract/document/7838410
  3. https://dl.acm.org/citation.cfm?id=2906827

535  Fabrication and Characterization of Graphene Microphone/Loudspeakers Arrays

יצור ואפיון מערכי מיקרופונים/רמקולים מגרפין

שם המנחה: ‫ ‫ עדי לוי , פיני טנדייטניק‬‎

אחראי אקדמי: פרופ' דורון נווה ופרופ' שרון גנות

הרקע לפרויקט:

בנית מיקרופון בטכנולוגיית graphene monolayer התלמידים יחשפו לתהליכי יצור של מיקרו-אלקטרוניקה ויבצעו סימולציה למודלים פיסיקליים

מטרת הפרויקט:

התלמידים ייחשפו לתהליך התכנון, ייצור ואיפיון של מערך מיקרופונים מגרפין.

הפרוייקט כולל תכנון וייצור של הרכיב ושל לוח אם PCB עם מעגל קריאה של האותות, איפיון אקוסטי ואלקטרוני של הרכיב ומעגלי הקריאה.

הפרוייקט דורש רקע בהתקנים, עיבוד אותות ומעגלים אנלוגיים.

תכולת בפרויקט:

התלמידים יתכננו את ההתקן וימדדו את התכונות החשמליות והאקוסטיות של המיקרופון.

בפרויקט יבדק תחום התנועה המכני של הממברנה בעזרת קרן ליזר.

בהמשך הפרויקט יבנה מערך של שתי שורות של ארבע מיקרופונים.

לצורך בניית מערך מיקרופונים התלמידים יתכננו מעגל אנאלוגי המשלב מגברי הפרש.

תבחן אפשרות של יצור מערך רמקולים בטכנולוגייית גרפן.

המדידות האקוסטיות יבוצעו במעבדתו של פרופ' שרון גנות.

דרישות:

  • טכנולוגיות של גרפן ומלמ דו מימדי או DSP2
  • הפרויקט הוא מולטי דיסציפלינארי ומחייב לימוד מגוון נושאים הנדסיים

מקורות:

  1. http://research.physics.berkeley.edu/zettl/pdf/471.PNAS-2015-Zhou-1505800112.pdf
  2. http://iopscience.iop.org/article/10.1088/2053-1583/2/4/045013/pdf

536 Desgin and implementation of custom and semi custom memory block

תכנון ויישום זיכרונות מותאמים אישית וחצי אישית

שם המנחה: ‫ ‫ תומר כהן‬‎

אחראי אקדמי: ד"ר אדם תימן

הרקע לפרויקט:

זיכרון המחשב הוא התקן פיזי המסוגל לאחסן מידע באופן זמני כמו זיכרון RAM (זיכרון גישה אקראית), או לצמיתות, כמו ROM (זיכרון לקריאה בלבד). התקני זיכרון משתמשים במעגלים משולבים ומשמשים למערכות הפעלה, תוכנה וחומרה.

כל התקן במחשב פועל במהירויות שונות וזיכרון המחשב נותן למחשב מקום גישה מהירה לנתונים. אם המעבד היה צריך לחכות להתקן אחסון משני, כמו כונן דיסק קשיח, המחשב יהיה הרבה יותר איטי. הפרויקט יעסוק בזיכרונות מתואמים בטכנולוגיית של 65 ננו מטר ומימושם לצורך אפליקציות שונות.

מטרת הפרויקט:

בניית בלוק זיכרון מותאם (Custom) ו/או חצי מתואם (Semi-Custom) להשגת שיפור ביצועים מבחינת זמני קריאה וכתיבה צריכת הספק נמוכה וכל זאת בשימוש בשטח מינימלי, בנוסף הזיכרון יכיל פורטים לצורך ביצוע (DFT (desgin for testing ויצטרך בנייה של פריפריות נלוות לצורך ביצוע פעולות הכתיבה והקריאה.

תכולת בפרויקט:

בפרויקט תדרשו לתכנן בלוק זיכרון ולממשו בטכנולוגית 65 ננומטר (Virtuoso) - לבנות יחידה בסיסית של תא זיכרון ולאחר מכן מערך כולל של הזיכרון ולבצע סימולציות שונות לצורך השגת המטרות.

כמו כן, תדרשו לבנות פריפריות נלוות מתואמות ליחידת הזיכרון (decoders,multiplexers and more) לצורך שיפור נוסף של מטר, הפרויקט.

בנוסף לאחר בניית הזיכרון תממשו אותו גם ע"י שימוש בקוד verilog לצורך ביצוע סנתוז והשוואה בין התוצאות שתקבלו בין הסימולציות השונות.

דרישות:

  • מעגלים אלקטרוניים ספרתיים
  • מבוא למעגלים משולבים
  • מערכות ומעגלי VLSI - שנה ד' סמסטר א'

מקורות:

Digital Integrated Circuits (2nd Edition)- Jan M. Rabaey CMOS VLSI Design A Circuits and Systems Perspective 4th Edition

537  Tailored IoT solutions for handicapped individuals

פתוח מערך IoT לאנשים עם מוגבלויות

שם המנחה: ד"ר אברהם שלי וד"ר ססיל יחזקאל‬‎

אחראי אקדמי: ד"ר אדם תימן

הרקע לפרויקט:

המוטיבציה לפיתוח הפרויקט נובעת מרצון משותף להציע פתרונות טכנולוגיים לאנשים עם מוגבלויות כדי לשפר את איכות חייהם בשיתוף עם עמותת הציבורית "מילבת" ללא כוונת רווח.

מטרת הפרויקט:

  1. לפתח מערכת שמודדת בעזרת חיישנים מתאימים את יכולת הפעולה של אדם עם מוגבלויות כדי לכייל ממשק ממוחשב.
  2. להתאים את הממשק הממוחשב להפעלת מכשיר IoT העונה לדרישות האדם עם המוגבלות (למשל מזגן, הזעקת עזרה, ...)

תכולת בפרויקט:

  1. לקיים פגישות עם צוות עמותת "מילבת" והאנשים עם מוגבלויות ("המטופלים") בבית החולים או בביתם במטרה להכיר את היכולת הפעילות הגופניות שלהם מצד אחד. מצד שני להבין את דרישות הטכניים (למשל הפעלת של מכשיר מסוים בשלט רחוק).
  2. לבחור אמצעי הקלט (החיישנים) המתאימים ביותר למדידת הפעולה הגופנית של המטופל.
  3. להגדיר סוג התקשרות המתאימה להפעלת המכשיר שהמטופל דורש לשולט בו.
  4. לתכנת את ממשק הממוחשב (Arduino).
  5. לעצב ולבנות אריזת המערכת ועזרים נוספים באמצעות הדפסת 3D בפקולטה להנדסה ובשיתוף עם מהנדס\ת המוצר הרפואי של עמותת מילבת.
  6. להעביר את הידע לצוות עמותת "מילבת" כדי להמשיך את התיאום או הבנייה של מערכות דומות באופן עצמאי.

דרישות:

  • מע' מבוא להנדסת חשמל
  • מע' מעגלים ספרתיים
  • תכנות שפת C
  • ידע/נסיון בתכנות Arduino

מקורות:

  1. "אנשים עם מוגבלות בישראל 2017" http://www.justice.gov.il/Units/NetzivutShivyon/sitedocs/statistic-annu…
  2. Internet Of Things (IOT)
  3. http://www.milbat.org.il/
  4. http://www.azarim.org.il/Hebrew/ConsultingServices/Pages/item3.aspx
  5. https://blog.scope.org.uk/
  6. https://www.arduino.cc

538 Unique Reduced RISC-V Processor


תת-מעבד RISC-V ייחודי

שם המנחה: Udi Kra
אחראי/ת אקדמי/ת: דר' אדם תימן

הרקע לפרויקט:

מערכות חמרה-תכנה מורכבות נדרשות לעיתים לשלב מעבדים המבצעים פעולות ממוקדות אך קריטיות בזמני ביצוע, שימוש במעבד מוטמע בתצורה מלאה למטרות אלו הינו בלתי יעיל מבחינת עלות שטח והספק, על כן נדרש מעבד מצומצם במיויחד אשר נותן מענה אופטימלי למשימות ספציפיות אך עדיין נתמך ע"י כלי פיתוח תכנה-חמרה.

מטרת הפרויקט:

פיתוח גרסת תת-מעבד מזערי על בסיס ארכיטקטורת ריסק-5 אשר מספק יחס עלות/ביצוע אופטימלי למשימות ממוקדות וכן פיתוח סביבת כלי תכנון חמרה תכנה לשימוש יעיל במעבד.

תכולת הפרויקט:

הכרות מעמיקה עם ארכיטקטורת ריסק-5, תכנון ומימוש המעבד בשפת ורילוג וכלי סינטזה, התאמת סביבת פיתוח תכנה למעבד, בדיקה של תפקוד המעבד בהשוואה למעבד מוטמע מקובל עבור מאפייני שימוש שונים.

קורסי קדם:

  • Verilog
  • DVD

מקורות:

https://riscv.org/

539 Cryogenic Reference Voltage

מחולל מתחי ייחוס לטמפרטורות נמוכות באופן קיצוני  CRYO

שם המנחה: Asaf Feldman
אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

Infrared imagers are utilized to detect the presence of human beings for security and autonomous vehicle applications.

The thermal radiation emitted by the human body can be detected using this type of imager. However, it is critical to reduce the dark current of the imager, so these sensors are generally cooled to very low temperature (77K).

At these temperatures, the device behavior of transistors is completely different than normal operation. There are several support circuits which are required for this application.

One of these is a bandgap reference voltage, which determines the voltages and currents which the image Pixels receive.

מטרת הפרויקט:

In this project, you will design voltage reference circuits which support the IR imagers.

During the course of the project, you will analyze the modeling behavior of the transistors at these low temperatures and design a bandgap reference circuit. This circuit will be used in the cryogenic system.

תכולת הפרויקט:

An analog IP block.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים - מומלץ

דרישות נוספות:

Lots of motivation!!

מקורות:

A. Teman, “Replica Technique for Adaptive Refresh Timing of Gain-Cell-Embedded DRAM”, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 61, NO. 4, APRIL 2014, pp. 259

540 ZOOM SAR Analog to Digital Converter

ממיר אנלוגי לדיגיטלי מסוג קירובים עוקבים – זום

שם המנחה: Yizhak Shifman
אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

Analog to digital converters are used to transfer real-world information, which is analog, to the digital domain for further signal processing.

In this project you will design a highly compact analog to digital converter (ADC) using the ZOOM - SAR (Successive Approximation Register) topology.

The Zoom-SAR is a hybrid ADC which combines the advantages of the SAR and Sigma Delta ADC.

מטרת הפרויקט:

The Zoom-SAR includes both analog and digital blocks, which will allow you to develop skills in both.

תכולת הפרויקט:

An analog IP Block

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – חובה

מקורות:

  1. Analog Integrated Circuit Design – Tony Chan Carusone, David Johns and Kenneth Martin – John Wiley Publishers. Chapters 16 and 17
  2. “A compact 10-b SAR ADC with unit-length capacitors and a passive FIR filter” P Harpe IEEE Journal of Solid-State Circuits 54 (3), 636-645.
  3. Y. Chae, “A 6.3 μW 20 bit Incremental Zoom-ADC with 6 ppm INL and 1 μV Offset” IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 48, NO. 12, DECEMBER 2013, pp. 3019

541 Fast Wakeup Crystal Oscillator for IOT

מתנד גבישי עם יכולת התעוררות מהירה

שם המנחה: Yizhak Shifman
אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

In the internet of things, the systems go to sleep in order to save power. The wake-up speed of the system will determine how much power can be saved by this method. One of the circuits which limits the system speed is the crystal oscillator.

מטרת הפרויקט:

In this project, you will design a fast-wakeup oscillator for this application. The design involves both analog and digital circuits as well as low power techniques.

תכולת הפרויקט:

To develop a fast wakeup oscillator

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – חובה

מקורות:

  1. Analog Integrated Circuit Design – Tony Chan Carusone, David Johns and Kenneth Martin – John Wiley Publishers. Chapters 16 and 17
  2. “A 5.58 nW Crystal Oscillator Using Pulsed Driver for Real-Time Clocks” D. Yoon IEEE Journal of Solid-State Circuits 51 (2), pp. 509.

542 Using hardware development methodologies to model and analyze of microfluidic devices

שימוש במתודולוגיות פיתוח חומרה למידול וניתוח של רכיבים מיקרופלואידים

שם המנחה: אלכס פיש, נועה עדרי
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

כחלק ממימוש מערכת תקשורת מולקולרית נדרש להשתמש ברכיבים אשר נעזרים באלקטרונים לשלוט בתנועתם של חלקיקים ביולוגיים. רכיב מסוג זה הינו ה"טרנזיסטור" המיקרופלואידי

מטרת הפרויקט:

מטרת הפרוייקט להשתמש במתודולוגיות מתחום החומרה על מנת למדל התנהגות של רכיבים מיקרופלואידים. בעזרת המודל ניתן יהיה לערוך סימולציה מערכתית של תקשורת מולקולרית.

תכולת הפרויקט:

סקר ספרות והכרת הרקע של אלקטרוקינטיקה. שימוש ב תוכנת COMSOL על מנת לבנות את הרכיב ולאפיין את התנהגותו. בניית מודל חשמלי עבור הרכיב.

קורסי קדם:

  • מעבדה מתקדמת למעגלים ספרתיים
  • מעבדה למעגלים משולבים


מקורות:

Principles and applications of nanofluidic transport

543 Using hardware development methodologies to model, analyze and, design biological circuits

שימוש במתודולוגיות פיתוח חומרה למידול , ניתוח ותכנון של מעגלים ביולוגיים

שם המנחה: יהודה רודין, נועה עדרי פריימן
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

הפרויקט משלב בין תחומי הביוהנדסה והננואלקטרוניקה.

כיום קיימים מחקרים רבים העוסקים במימוש יחידת חישוב המבוססת על רכיבים ביולוגיים. יחד עם זאת נדרש לאפיין את עבודתם של מעגלים אלה בדומה למעגלים האלקטרוניים ולבחון את הממשק בינהם

מטרת הפרויקט:

מטרת הפרויקט להשתמש במתדולוגיות מתחום פיתוח החומרה על מנת למדל, לדמות ולנתח פרמטרי ביצוע שונים של מעגלים ביולוגיים.

תכולת הפרויקט:

  • סקר ספרות על אופן תכנון ומימוש של מעגלי חישוב ביולוגיים.
  • בנייה ואפיון של מעגל חישוב ביולוגי בעזרת כלי סימולציה.
  • מימוש המעגל, הדגמת הפונקציונליות ומדידת ביצועים.

קורסי קדם:

  • מעבדה מתקדמת למעגלים ספרתיים
  • מעבדה למעגלים משולבים

מקורות:

https://www.ncbi.nlm.nih.gov/pmc/articles/PMC3262419/

פרויקטים נוספים מומלצים

202 Technique for key generation using robust and tunable PUF

שיטה ליצירת מפתח סודי תוך שימוש ב PUF יציב ואמין

שם המנחה: יואב ויצמן

אחראי אקדמי: דר' אסנת קרן

הרקע לפרויקט:

Physically Unclonable Functions (PUFs) have gained substantial interest in enabling individual device authentication and key generation without physical storage, thus preventing existing attacks against memory-based key storage methods. However PUFs, response bits are partially unstable due to the effect of noise in marginally stable, thus design of a reliable PUF is a primary target. 

מטרת הפרויקט:

In this project we use a very robust SRAM based PUF that was developed in our lab and we build a system around this PUF to receive error correction capabilities that reduce the bit error rate to a negligible level. The project will include building an error correction code that is using the PUF response to generate helper data that is stored and allow the recovery of reliable PUF response.

תכולת בפרויקט:

The students will learn the PUF response and evaluate the error probability, they will design an error correction code that will evaluate the PUF response and generate the syndrom suitable for this response. They will implement the algorithm in CMOS including the control logic. They will evaluate the performance.

דרישות:

  • מבוא לתורת הצפינה
  • תכנון ספרתי
  • קורסים בחומרה בטוחה

מקורות:

https://people.csail.mit.edu/devadas/pubs/secure-robust-ecc-puf.pdf

203 Fault injection attacks on memory arrays and countermeasures

הזרקת כשלים למערכי זכרון וגילויים

שם המנחה: יואב ויצמן

אחראי אקדמי: דר' אסנת קרן

הרקע לפרויקט:

הפרוייקט עוסק בהגנה על מערכי זכרון מפני הזרקות כשלים זדוניות שמטרתן לשבש או לשנות את פעולת המערכת.

מטרת הפרויקט:

לפרוייקט שני חלקים.

  1. החלק הראשון יעסוק בבחינת שיטות התקפה דרך ערוצי צד
  2. החלק השני יעסוק בשיטות הגנה ברמת החומרה

תכולת בפרויקט:

במסגרת החלק הראשון יממושו שיטות שונות לשיבוש תוכן מערך זכרון המשמש לאחסון קוד ומידע, עבור כל שיטה יבנה מודל המתאר את השפעת הכשל על תוכן הזכרון מבחינת הקשר בין אופי השגיאות הנוצרות, מיקומן ומספרן והקורלציה (במידה וקיימת כזו) עם תוכן הזכרון עצמו.

במסגרת החלק השני של הפרוייקט תבחן אפקטיביות אמצעי הגנה שונים כנגד הזרקות כשלים ככלל, ובחינת יעילותם של קודים מכווני אבטחה בפרט.

דרישות:

  • Verilog
  • matlab
  • תכנון ספרתי
  • תכן לוגי
  • קורסים בחומרה בטוחה

מקורות:

  1. H. Rabii, Y. Neumeier, and O. Keren, “High rate robust codes with low implementation complexity,” IEEE Transactions on Dependable and Secure Computing, 2018.
  2. J. Da Rolt, A. Das, G. Di Natale, M.-L. Flottes, B. Rouzeyre, and I. Ver-bauwhede, “Test versus security: past and present, ” IEEE Transactions on Emerging topics in Computing, vol. 2, no. 1, pp. 50–62, 2014.

205  Physically Secured Authenticated-Encryption

מימוש הצפנה מאומתת בטוחה

שם המנחה:  לוי איתמר

אחראי אקדמי:  לוי איתמר

הרקע לפרויקט:

פרויקט זה מתמקד באבטחת המידע המעובד על ידי החומרה (ASICS, FPGAs, uCs). היום, פרט למנגנונים קריפטוגרפיים (סודיות, אימות וכו'..) קיימות שכבות הגנה נוספות לוגית/חומרתית כנגד חילוץ מידע מסווג שאגור/מעובד ברכיבים. האיומים שאנחנו מתגוננים בפניהם הם תקיפות הספק או אלקטרומגנטיות, ניצול אינפורמציה שזולגת ע"י החדרת כשלים, אי-אידיאליות של המערכות הממומשות וכו'. הרעיון הכללי של תקיפות אלו שעל ידי שימוש במידע ממדידות על הרכיבים ניתן לחלץ (למשל) מפתחות ההצפנה שמשמשים להצפנת המידע הסודי שמעובד ברכיבים. בפרויקט זה אנו נממש אלגוריתמים קריפטוגרפים חדשניים להצפנה מאומתת (Authenticated Encryption, AE) ונאבטח אותם חומרתית ע"י שילוב מנגנונים חדשניים עם מנגנונים ידועים.

מטרת הפרויקט:

ההישגיo המצופים הינם: למידה עמוקה של הבעיה ושיטות ההגנה הקיימות/מוצעות. שילוב מנגנוני הגנה פיסיקאליים/מתמטיים, ביצוע ניתוח סטטיסטי, ניתוח רמת הבטיחות.
לבסוף, פרויקט מוצלח יזכה לשלוח לייצור את הרכיבים ולפרסם עבודות בכתבי עת.

תכולת בפרויקט:

הפרויקט יכלול:

  • למידת הגנות ותקיפות פיסיקליות ומידול.
  • נשלב במימוש הגנות חומרתיות\אלגוריתמיות - למשל מיסוך (MASKING) בשיטות מתקדמות שטרם מומשו והגברת\אמולציה רעש פיסיקאלי. הגברת הרעש הפיסיקאלי מורידה את העלות של מימוש שיטות מיסוך באופן אקספוננציאלי (צריכת אנרגיה/ שטח ומהירות חישוב).
  • מידול סטטיסטי של ההגנות והמערכת.
  • שילוב באלגוריתמים קריפטוגרפים חדשניים (למשל AE) באופן יעיל.
  • אופציונלית כתלות בידע/זמן/מוטיבציה מימוש, ייצור ומדידה.

דרישות:

  • 83004 תכן חומרה בשפת VERILOG
  • 83253 תכן לוגי.

כלים נדרשים שילמדו תוך כדי הפרויקט אם אין ידע קודם:

  • 83452 שיטות תכנון מעגלים בטוחים
  • 83458 מבוא לקרפיטוגרפיה
  • 83612 מעגלי ומערכות VLSI דיגיטליים
  • 83625 התקפות על מערכות חומרה.
  • עבודה עם כלי Synthesis tools .
  • עבודה אופציונלית בכלי Innovus Cadence .

מקורות:

  1. Ishai, Yuval, Amit Sahai, and David Wagner. "Private circuits: Securing hardware against probing attacks." Annual International Cryptology Conference. Springer, Berlin, Heidelberg, 2003.
  2. Levi, Itamar, Davide Bellizia, and François-Xavier Standaert. "Reducing a Masked Implementation’s Effective Security Order with Setup Manipulations." IACR Transactions on Cryptographic Hardware and Embedded Systems (2019): 293-317.

206  Low-Cost Authenticated-Encryption

הצפנה מאומתת בעלות נמוכה

שם המנחה:  לוי איתמר

אחראי אקדמי:  לוי איתמר

הרקע לפרויקט:

פרויקט זה מתמקד במימוש יעיל של אלגוריתמים קריפטוגרפיים מתקדמים בחומרה. אנו נממש אלגוריתמים קריפטוגרפיים חדשניים להצפנה מאומתת (Authenticated Encryption, AE) מתחרות NIST האחרונה עבור פלטפורמות FPGA \ או ASICs. ספציפית נתמקד באלגורתמי LS/XLS. אלגוריתמים אלו בעלי פוטנציאל אדיר למימוש יעיל בחומרה מבחינת משאבי אנרגיה, מהירות ושטח (למשל עבור אפליקציות IoT).

מטרת הפרויקט:

ההישגים המצופים הינם: למידה עמוקה של האלגוריתמים. הבנה של פלטפורמות המימוש השונות והכלת טכניקות מימוש מתקדמות לשיפור ביצועים/יעילות.
לבסוף, פרויקט מוצלח יזכה לשלוח לייצור את הרכיבים ולפרסם עבודות בכתבי עת.

תכולת בפרויקט:

הפרויקט יכלול:

  • למידת בסיס של אלגוריתמי AE.
  • מימוש חומרתי של סט אלגוריתמים קריפטוגרפיים חדשניים (AE) באופן יעיל.
  • שיפור ביצועים.
  • השוואה בין המתחרים הארונים של תחרות NIST עבור AE
  • (אופציונית) ייצור / מימוש ומדידות.
  • הבאת הפרויקט לרמת בשלות המתאימה לפרסום בכתב עת .

דרישות:

  • 83004 תכן חומרה בשפת VERILOG
  • 83253 תכן לוגי.
  • 83612 מעגלי ומערכות VLSI דיגיטליים

כלים נדרשים שילמדו תוך כדי הפרויקט אם אין ידע קודם:

  • 83458 מבוא לקרפיטוגרפיה
  • עבודה אופציונלית בכלי (EDI) Cadence Encounter .
  • שימוש בכלי Synthesis
  • שימוש בכלי תכנון למשל Cadence Innovus
  • עבודה עם FPGAs

מקורות:

  1. Journault, Anthony, François-Xavier Standaert, and Kerem Varici. "Improving the security and efficiency of block ciphers based on LS-designs." Designs, Codes and Cryptography82.1-2 (2017): 495-509.
  2. CAESAR: Competition for Authenticated Encryption: Security, Applicability, and Robustness, Available online: https://competitions.cr.yp.to/caesar.html
  3. NIST Lightweight Cryptography (LWC) Standardization, Available Online: : https://csrc.nist.gov/News/2019/lightweight-cryptography-round-1-candid…

207  Efficient (and Compensated) True Random Generators for IoT

יצרנים רנדומיים יעילים מבוקרים עבור IoT

שם המנחה:  לוי איתמר

אחראי אקדמי:  לוי איתמר

הרקע לפרויקט:

פרויקט זה מתמקד במימוש יעיל של יצרנים רנדומיים פיסיקאליים עבור אפליקציות שדורשות תפוקה רנדומית גדולה בעלות אנרגטית נמוכה. בימינו, לאבטחת המידע המעובד על ידי חומרה (ASICS, FPGAs, uCs), ובנוסף לצורך של מנגנונים קריפטוגרפים סטנדרטיים (סודיות, אימות וכו'..) קיים צורך להגן על המימוש החומרתי של המערכת כנגד חילוץ מידע מסווג שאגור/מעובד ברכיבים. כל צרכים אלו וההגנות המדוברות (על מגוון הספקטרום) דורשות תפוקת ביטים רנדומיים גדולה מאוד בעלות נמוכה. בפרויקט זה אנו נממש יצרנים רנדומיים המסתמכים על עקרונות פיסיקליים, נמדל אותם, נבחן אותם במקרי קצה שונים, נממש מנגנוני פיצוי למקרי קצה אלו ובתקווה נבחן על פלטפורמת FPGA ונייצרם בטכנולוגיית ASICs (שניהם רלוונטיים מאוד לעולם הרכיבים ולאפליקציות IoT וכו'). המטריקות שנשתמש בהם הם אנטרופיה מינימאלית, תפוקה עלות אנרגטית לביט וכו'.

מטרת הפרויקט:

ההישגיo המצופים הינם: למידה עמוקה של הבעיה והשיטות המוצעות. שיפור המנגנונים הפיסיקאליים והמידול המתמטי, ביצוע ניתוח סטטיסטי, ניתוח רמת הבטיחות ויכולת החדרת הכשלים. מימוש מנגנוני פיצוי.
לבסוף, פרויקט מוצלח יזכה לשלוח לייצור את הרכיבים ולפרסם עבודות בכתבי עת.

תכולת בפרויקט:

  • למידת מנגנונים קיימים.
  • מימוש מנגנונים משופרים.
  • מימוש לוגיקת פיצוי.
  • (אופציונלית) ייצור הרכיבים.
  • מדידת ביצועים (אם לא יוצר על פלטפורמת FPGA).
  • ביצוע ניתוח סטטיסטי.
  • לבסוף, פרויקט מוצלח יזכה לייצור את הרכיבים ולפרסם עבודות בכתבי עת.

דרישות:

  • 83004 תכן חומרה בשפת VERILOG
  • 83253 תכן לוגי.

כלים נדרשים שילמדו תוך כדי הפרויקט אם אין ידע קודם:

  • כלים בסיסיים מתורת האינפורמציה
  • 83452 שיטות תכנון מעגלים בטוחים
  • 83458 מבוא לקרפיטוגרפיה
  • 83612 מעגלי ומערכות VLSI דיגיטליים
  • 83625 התקפות על מערכות חומרה.
  • שימוש בכלי סינטזה
  • עבודה אופציונלית בכלי מימוש לדוגמא Innovus Cadence

מקורות:

  1. Yang, Bohan, et al. "ES-TRNG: A High-throughput, Low-area True Random Number Generator based on Edge Sampling." IACR Transactions on Cryptographic Hardware and Embedded Systems (2018): 267-292.
  2. Berk Sunar, William J Martin, and Douglas R Stinson. A provably secure true random number generator with built-in tolerance to active attacks. IEEE Transactions on computers, 56(1):109–119, 2007.
  3. Knut Wold and Slobodan Petrovic. Behavioral model of trng based on oscillator
    rings implemented in fpga. In 14th IEEE International Symposium on Design
    and Diagnostics of Electronic Circuits and Systems, pages 163–166. IEEE,
    2011.

107  Scalable Synthesis from Temporal Logic Specifications

אלגוריתמים לסינתזה מאפיון דרישות בלוגיקת הזמן

שם המנחה: דר' הלל קוגלר

אחראי אקדמי: דר' הלל קוגלר

הרקע לפרויקט:

אימות פורמלי (Formal Verification) מאפשר שימוש באלגוריתמים ושיטות מתמטיות להוכחת נכונות של מערכות תוכנה וחומרה מורכבות. בסינתזה השאיפה היא לייצר בצורה אלגוריתמית תוכנה שמובטח שעומדת באפיון נתון בלוגיקת הזמן, ובכך לקצר משמעותית את תהליך הפיתוח ולקבל מימוש נכון.

מטרת הפרויקט:

מטרת הפרויקט להבין ולפתח אלגוריתמים לסינתזה מאפיון בלוגיקת הזמן (temporal logic)

תכולת בפרויקט:

במהלך הפרויקט הסטודנטים ירכשו ידע בשיטות אימות פורמלי וסינתזה (Formal Verification and Synthesis) וייפתחו ויבדקו אלגוריתמים לפתרון יעיל ככל הניתן לבעיית הסינתזה מ Temporal Logic .

אתגר משמעותי בסינתזה הוא קושי אלגוריתמי לנתח מרחב מצבים גדול. מטרת הפרויקט תהיה להציע פתרונות אלגוריתמיים חדשים כולל ממוש יעיל כדי לאפשר סינתזה של מערכות מורכבות.

דרישות:

  • 83691 Formal Verification and Synthesis (במקביל לפרויקט)

מקורות:

  1. Manna, Zohar, and Amir Pnueli. "Temporal verification of reactive systems: safety." Springer (1995).
  2. A. Pnueli and R. Rosner "On the synthesis of a reactive module". POPL '89 Proceedings of the 16th ACM SIGPLAN-SIGACT symposium on Principles of programming languages, Pages 179-190, 1989.
  3. S. Jacobs et al. "The 4th Reactive Synthesis Competition (SYNTCOMP 2017): Benchmarks, Participants & Results." (2017): 116-143.

406  Towards 3D Digital Laser Printing of Micro-electromechanical Systems

הדפסת התקנים אלאקטרו מכניים תלת מממדיים בעזרת לייזר

שם המנחה: דר' צבי קוטלר

אחראי אקדמי: פרופ זאב זלבסקי

הרקע לפרויקט:

הטכנולוגיה של הדפסה תלת מימדית בעזרת לייזר התקדמה מאוד לאחרונה.

מחקרים אחרונים שנעשו בקבוצה של פרופ זלבסקי בשיתוף פעולה עם חברת אורבוטק הראו מימוש של התקנים כדוגמת צבתות מיקרו מטריות ורכיבים היכולים לאפנן אור.

מטרת הפרויקט:

לייצר בעזרת התפסה תלת ממדית של לייזר התקני איפנון אור המבוססים על הזזות של מיקרו עדשות תוך הפעלת מתחים שונים על ההתקן

תכולת בפרויקט:

תיכנון נומרי של ההתקן. ייצורו בשתפ עם חברת אורבוטק ובחינת הפעולה שלו באופן ניסיוני במעבדה

דרישות:

  • מבוא לאופטיקה

407  Super resolved imaging of silicon wafers and failture analysis of Ics

דימות משופר רזולוציה של שבבי סיליקון

שם המנחה: פרופ משה סינואני

אחראי אקדמי: פרופ זאב זלבסקי

הרקע לפרויקט:

במעבדתו של פרופ זלבסקי פותחה שיטה יחודית לדימות ברזולוציה משופרת של שבבי סיליקון תוך שימוש באפקט לא לנארי הקיים בסיליקון. שימוש באפקט זה יכול לשפר מאוד תחום הניקרא failure analysis של מעגלי מיקרו אלקטרוניקה

מטרת הפרויקט:

המשך ביצוע מחקר במערך הניסוי שהוקם של סופר רזולוציה בסיליקון והדגמת עיקרון הפעולה שלו למימוש דימות ברזולוציה הטובה מ 200 ננו מטר

תכולת בפרויקט:

ביצוע ניסויים במערך אופטי הקיים במעבדה תוך שימוש בלייזרים קצרי פולסים ועדשות SIL להדגמה ניסיונית חדשנית של יכולות דימות ברזולוציה הטובה מ 200 ננו מטר

דרישות:

  • מבוא לאופטיקה
  • סופר רזולויה- יכול לעזור

409  Investigating time-lenses

חקירת עדשות זמן

שם המנחה: ענבר סיבוני

אחראי אקדמי: ד"ר מוטי פרידמן

הרקע לפרויקט:

היכולת לעבד מידע במהירות האור ללא שימוש באלקטרוניקה יכולה להוביל למהפכה בתקשורת ומיחשוב. עדשות זמן מהוות אפשרות מבטיחה לצורך עיבוד מידע העובר בסיבים אופטיים ואנו נפתח עדשות זמן חדשניות לצורך זה.

מטרת הפרויקט:

למידת הנושא "עדשת זמן" לעומק. בניית מערך שלם של עדשות זמן, מדידת התוצאות המתקבלות וניתוחן במקביל לסימולציות והשוואה ביניהן. לסטודנטים רציניים- כתיבת מאמר.

תכולת בפרויקט:

הסטודנטים יתכננו ויפתחו מערך שלם של עדשות זמן בסיבים אופטיים. הסטודנטים ילמדו על מימוש הכלים אותם רכשו בקורסים השונים תוך שימוש במכשירי המעבדה.

דרישות:

  • מבוא לאופטיקה מודרנית ואלקטרו-אופטיקה
  • מבוא לליייזרים.
  • יכולת עבודה במעבדה ושליטה בmatlab.

מקורות:

https://www.osapublishing.org/ol/abstract.cfm?uri=ol-14-12-630

609  Developing a device for measuring neurons concentration

פיתוח התקן למדידת ריכוז תאי עצב

שם המנחה: פיני טנדייטניק

אחראי אקדמי:  פרופ' אורית שפי

הרקע לפרויקט:

  1. יצור התקן בשיטות של מיקרו-אלקטרוניקה ואיפיון חשמלי של ההתקן
  2. עבודה עם תאי עצב ומדידת הצימוד בין ההתקן לתאים
  3. זיהוי ריכוזים שונים של חומרים

מטרת הפרויקט:

במסגרת הפרויקט התלמידים יתכננו יצור של אלקטרודות אשר תבאנה במגע עם תמיסות אלקטרוליטיות בעלות מיגוון של ריכוזים, של חומרים כימיים וסוגי תאים שונים.

לאחר יצור האלקטרודות, תיבחן יעילותן בניסויים.

דרישות:

  • מבוא להנדסת חשמל

מקורות:

  1. Stett, A., Egert, U., Guenther, E. et al. , " Biological application of microelectrode arrays in drug discovery and basic research ", Anal Bioanal Chem (2003) 377: 486.
  2. Yoon, Gilwon. "Dielectric Properties of Body Fluids with Various Hematocrit Levels." World Acad. Sci. Eng. Technol 5 (2011): 1646-1649.‏
  3. www.comsol.com

413  Development of Nano metric Stage for Superresolution Confocal Microscope. Phase II

פתוח מערך הנעה ננומטרי ממוחשב במיקרוסקופ עם סריקת לייזר ברזולוציית על.

שם המנחה: פרופ' משה סינואני, מר מאור תפארת

אחראי אקדמי: פרופ' זאב זלבסקי

הרקע לפרויקט:

פרוייקט זה הוא חלק מפרוייקט גדול יותר של פיתוח מיקרוסקופ עם סופר-רזולוציה. הוא כולל תכנון והרכבה של דרגת הנעה ננומטרית למיקרוסקופ, בשלושה צירים בדיוק של עשירית ננומטר לטווח מקסימלי של 100 מיקרומטר בכל ציר. נשתמש בדרגת הנעה ננומטרית מתאימה, שברשותינו אותה נרכיב על שתי דרגות הנעה לינאריות בדיוק של מיקרומטר בטווח של 50 מ"מ.

מטרת הפרויקט:

הפעלת המערכת עם בקרה ממוחשבת

תכולת בפרויקט:

לימוד עקרון הפעולה של היחידה הננומטרית והפעלתה ע"י מחשב.

תכנון מיכני והרכבת היחידה הננומטרית על הדרגה הלינארית.

כתיבת תכנה להפעלה מבוקרת של מערך ההנעה על חלקיו.

רכש של אנקודרים לינאריים לבקרה על התנועה כולל סריקת שטח ברזולוציה ננומטרית.

דרישות:

  • אופטיקה
  • לייזרים
  • גלאים
  • מל"מ
  • מוטיבציה גבוהה, התמדה, ראש פתוח

מקורות:

פרוייקט קודם, אינטרנט

610 Developing a modular magnetic device for manipulating 3D neural networks

פיתוח מערך מגנטים מודולרי לשליטה ברשתות נוירונים תלת-ממדיות

שם המנחה: Reut Plen
אחראי/ת אקדמי/ת: פרופ' אורית שפי

הרקע לפרויקט:

ליכולת לשלוט בארגון המרחבי של רשתות עצבים יש השלכות חשובות ביותר בתחום ההנדסה הביו-רפואית. בניית רשתות עצביות תלת-ממדיות חשובה להבנה טובה יותר של המוח, לפיתוחם של ממשקים עצביים, לשיקום פגיעות עצביות ולטיפול במחלות נוירודגנרטיביות.

לאחרונה פותחה גישה חדשנית להכוונה מקומית של תאים באמצעות הטענתם בחלקיקים מגנטיים. הפיכתם של התאים ליחידות מגנטיות מאפשרת שליטה מרחוק באמצעות הפעלת גרדיאנטים של שדות מגנטים חיצוניים.

מטרת הפרויקט:

מטרת פרויקט זה היא לבנות רשת עצבית תלת-ממדית באמצעות הכוונת התאים לאזורי מטרה רצויים בתוך ג'ל קולגן רב-שכבתי. לשם כך, נתכנן ונייצר מערך מגנטים ייחודי המבוסס על מודלים של שדות מגנטיים אשר יוביל ליצירת מוקדים מגנטיים. בעזרת השדה המגנטי נמקם בתוך הג'ל תאים דמויי עצבים טעונים בננו-חלקיקים מגנטיים, נעודד את התמיינותם וכך ניצור רשתות עצביות באופן מבוקר.

תכולת הפרויקט:

  • לימוד תאורטי וקריאה בספרות של מחקרים רלבנטיים
  • תכנון ויצור מערכי מגנטיים
  • סימולציה ומידול של שדות מגנטיים
  • טיפול בתאים על גבי המערכים השונים ומעקב אחר התארגנות התאים כולל ניתוח כמותי של המבנה של התאים מתמונות שנרכשו במיקרוסקופ.

קורסי קדם:

  • קורס שדות
  • ידע בתוכנות COMSOL או OOMMF – יתרון

מקורות:

  1. https://jnanobiotechnology.biomedcentral.com/articles/10.1186/s12951-016-0190-0
  2. https://www.ncbi.nlm.nih.gov/pmc/articles/PMC6163445/