פרויקטי גמר שנה"ל תשע"ט - ננו-אלקטרוניקה

601 Compact Analog to Digital Converter based on 2nd order Sigma-Delta modulator

ממיר אנלוג לדיגיטל מבוסס על מדולטור של דלתא סיגמא

שם המנחה: Liron Lisha

אחראי אקדמי: Prof. Yossie Shor

תיאור הפרויקט ותכולתו:

Project Description – In this project you will design a highly compact analog to digital converter (ADC) using the 2nd order Sigma-Delta (SD) topology.

The reference shown below by Oshita, et. al. is presently the smallest ADC in the art, but is only a first order converter.

We would like to extend this topology to 2nd order, thus slightly increasing the size, but getting much better resolution and noise rejection.

You will have to learn about the theory behind first and second order SD, and then implement the circuit in 65nm CMOS.

The successful conclusion of this project may lead to an academic publication.

דרישות:

אלקטרוניקה לינארית - חובה

מעבדה למעגלים אנלוגיים – חובה

מעגלים אלקטרוניים ספרתיים – חובה

מעבדה מעגלים אלקטרוניים ספרתיים – חובה

מעגלים משולבים אנלוגיים – חובה

מקורות:

  1. T. Oshita, J. Shor, D. E. Duarte, A. Kornfeld, G. L. Geannopoulos, J. Douglas, and N. Kurd, "A Compact First-Order ΣΔ modulator for Analog High-Volume Testing of Complex System-on-Chips in a 14 nm Tri-Gate Digital CMOS Process", IEEE Journal of Solid-State Circuits vol. 51, no. 2 pp. 378 - 390 (2016)
  2. Ro’ee Eitan and Ariel Cohen, “Untrimmed Low-Power Thermal Sensor for SoC in 22 nm Digital Fabrication Technology”, J. Low Power Electron. Appl. 2014, 4, 304-316; doi:10.3390/jlpea4040304

602 Integrated Sensors using Ring Oscillators

ממיר אנלוג לדיגיטל מבוסס על מדולטור של דלתא סיגמא

שם המנחה: Yosef Lempel

אחראי אקדמי: Prof. Yossie Shor

תיאור הפרויקט ותכולתו:

In the Internet-of-Things world, there are a huge amount of sensors to monitor physical and biological parameters, such as temperature, voltage, current, bio-electrical signals and others.

Ring oscillators (RO) have unique properties and their frequencies are dependent on voltage, current and temperature, such that they can be used to sense these parameters. However, the RO’s are difficult to control, which presents a significant challenge. In this project, you will use analog and digital techniques to control the RO’s, such that they can be useful in these applications.

You will investigate the use of different types of oscillators, along with biasing and post-processing circuitry to measure physical parameters. The successful conclusion of this project will involve the implementation of a ring-oscillator sensor on a Silicon IC and can result in an academic publication.

דרישות:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים - מומלץ

מקורות:

E. Alon, et. al., “Circuits and Techniques for High-Resolution Measurement of On-Chip Power Supply Noise” IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 4, APRIL 2005, pp. 820-828.

603 Analog Identification Circuit using Physical Unclonable Functions

מעגל זיהוי אנלוגי המבוסס על PUF

שם המנחה: Yizhak Shifman

אחראי אקדמי: Prof. Yossie Shor

תיאור הפרויקט ותכולתו:

In the modern era, there is a huge amount of secured data transfer involving credit cards, autonomous vehicles, IOT, etc.

It is essential for data centers to be able to identify users accurately and securely. A new category of circuit called Physical Uncloneable Functions (PUF) has been utilized to provide secret encryption keys and authentication.

The PUFs use random transistor mismatch to generate digital codes, which are unknown even to the device manufacturer.

The problem with PUF are that they also have many flaky bits which can change due to noise effects, requiring the use of complex error correction algorithms. In this project, several novel techniques will be utilized to improve the reliability and robustness of the basic PUF cell.

These techniques involve a combination of analog, digital and device physics concepts.

During the course of this work, you will design a novel PUF array as well as its readout circuitry, all of which will be implemented in a Si IC.

This is an original idea whose successful implementation can result in an academic publication.

דרישות:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים - מומלץ

מקורות:

  1. G. Schrijen, “Scalable Security for IoT” in ISSE 2015, Berlin, Germany.
  2. C. Herder, Y. Meng-Day, F. Koushanfar, S. Devadas, "Physical Unclonable Functions and Applications: A Tutorial", Proceedings of the IEEE, vol. 102, no. 8, pp. 1126 – 1141, (2014)

604 Low Drop-Out (LDO) Voltage Regulator

וסת מתח LDO

שם המנחה

אחראי אקדמי: Prof. Yossie Shor

תיאור הפרויקט ותכולתו:

As Integrated Circuits scale down to lower and lower voltages, it is very important to save power and optimize the power/performance. In order to do this, different areas of the chip are placed at varying voltages based on the required activity levels.

As such there has been an increasing trend to have on-die voltage regulators so that there can be many power domains on chip. In this project, you will design a combined analog/digital low-drop-out voltage regulator which can be easily placed on die.

The project involves studying the prior-art, performing MATLAB simulations as well as developing the basic cells, and then simulating the LDO in Virtuoso/ADXL and possibly implementing it in Silicon.

דרישות:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – מומלץ

מקורות:

K. Luria, J. Shor, M. Zelikson, and A. Lyakhov, "Dual-Mode Low-Drop-Out Regulator/Power Gate With Linear and On–Off Conduction for Microprocessor Core On-Die Supply Voltages in 14 nm", IEEE Journal of Solid-State Circuits vol 51, no. 3, pp 752 - 762 (2016)

605 Designing digital IC with Multiple-Independent-Gate Field-Effect transistor

תכן מעגלים דיגיטליים משולבים באמצעות טרנזיסטור-אפקט-שדה רב-שערים-בלתי-תלויים

שם המנחה: Daniel Vana

אחראי אקדמי: Dr. Adam Teaman

תיאור הפרויקט ותכולתו:

In parallel to the focus on transistor scaling, an alternative approach to push further the performance of computing systems consists in increasing the functionalities of the basic transistors by means of additional gate controls.

Three-Independent-Gate Field-Effect Transistors (TIGFETs) belong to this category of devices and can achieve different modes of operation according to the bias of the gate terminals.

In particular, these devices are capable of

  1. (i) device-level polarity control
  2. (ii) dynamic threshold modulation
  3. (iii) subthreshold slope tuning down to ultrasteep-slope operation.

The functionality increase at the device level leads to several design opportunities for digital, analog and RF applications.

The digital design opportunities are:

  • arithmetic operators,
  • compact multi-VT
  • gate design,
  • memory design,
  • system-level benefits,
  • non-traditional digital design
    • a. asynchronous digital design,
    • b. reversible logic design

In this project you'll be realizing these digital opportunities into TIGFET-based circuits. These will be the final product of the project.

דרישות:

קורסי ננו

מקורות:

https://ieeexplore.ieee.org/document/7483368/

606 Side-Channel attack on cryptographic systems using synchronization methods

ביצוע התקפות חומרה באמצעות זליגת מידע דרך ערוץ צד באמצעות זיהוי מאפייני תיזמון

שמות המנחים: ישי קהתי ויואב ויצמן

אחראי אקדמי: ד"ר יואב ויצמן

תיאור הפרויקט ותכולתו:

אלגוריתמים קריפטוגרפים מבוססים על מספר פעולות לינאריות ולא לינאריות המבוצעות על המידע ומפתח סודי. אלגוריתמים אלה נחשבים בטוחים מאוד מבחינה אנליטית, אך נמצא שניתן לפרוץ אותם ביעילות באמצעות התקפות המנצלות זליגת מידע דרך ערוץ צד (כגון מדידת הספק או פליטה אלקטרומגנטית). התקפות המבוססות על מדידת ערוצי הצד, דורשות סנכרון של פעולות ההצפנה בין הכניסות השונות, ולכן, קיימות הגנות המקשות על התוקף לחלץ מידע באופן אפקטיבי.

מטרת הפרוייקט לנתח את המאפיינים המחזוריים בספקטרום האות הנמדד וזיהוי מאפיינים המאפשרים סינכרון של האות הנמדד במערכת המדידה. הפרוייקט כולל בניית מערכת נסיונית של התקפת הספק על מערכת הצפנה הממומשת על רכיב FPGA וביצוע מדידות של האות הזולג. לאחר המדידה יש לבצע איפיון סטטיסטי של האות תוך סינון רעשים אלגוריתמיים ואקראיים, וחילוץ מאפייני התזמון המאפשרים התקפה. לבסוף נממש מנגנוני הגנה שונים שמטרתם לסכל את ההתקפה ונבחן את יעילותם של מנגנונים אלה כנגד תוקפים המסוגלים לבצע אנליזת תיזמון יעילה.

מסלול משותף עם עיבוד אותות

607 Fabrication and Characterization of Graphene Microphone Arrays

יצור ואפיון מערכי מיקרופונים מגרפין

שמות המנחים: עדי לוי , פיני טנדייטניק

אחראים אקדמים: ד"ר דורון נווה ופרופ' שרון גנות

תיאור הפרויקט ותכולתו:

התלמידים ייחשפו לתהליך התכנון, ייצור ואיפיון של מערך מיקרופונים מגרפין. הפרוייקט כולל תכנון וייצור של הרכיב ושל לוח אם PCB)) עם מעגל קריאה של האותות, איפיון אקוסטי ואלקטרוני של הרכיב ומעגלי הקריאה. הפרוייקט דורש רקע בהתקנים, עיבוד אותות ומעגלים אנלוגיים.

תכולה: התלמידים יתכננו את ההתקן וימדדו את התכונות החשמליות והאקוסטיות של המיקרופון. בפרויקט יבדק תחום התנועה המכני של הממברנה בעזרת קרן ליזר.

בהמשך הפרויקט יבנה מערך של שתי שורות של ארבע מיקרופונים.

לצורך בניית מערך מיקרופונים התלמידים יתכננו מעגל אנאלוגי המשלב מגברי הפרש.

המדידות האקוסטיות יבוצעו במעבדתו של פרופ' שרון גנות.

דרישות:

הפרויקט הוא מולטי דיסציפלינארי ומחייב לימוד מגוון נושאים הנדסיים

מקורות:

  1. http://research.physics.berkeley.edu/zettl/pdf/471.PNAS-2015-Zhou-15058…
  2. http://iopscience.iop.org/article/10.1088/2053-1583/2/4/045013/pdf
  3. www.comsol.com

608 Implementation of encryption algorithm immune against electromagnetic attacks

מימוש מנגנון הצפנה חסין בפני התקפות אלקטרומגנטיות

שם המנחה: ד"ר יואב ויצמן

אחראי אקדמי: ד"ר יואב ויצמן

תיאור הפרויקט ותכולתו:

הפרוייקט עוסק במימוש מערך הצפנה סימטרי מסוג PRESET הכולל אמצעי הגנה המונעים מתוקף לזהות תבנית מידע באות האלקטרומגנטי הנפלט ממערכת כזו. מערך ההצפנה ואמצעי ההגנה ימומש על רכיב FPGA. הפרוייקט כולל בניית מערכת המאפשרת מדידת הפליטה האלקטרומגנטית וביצוע עיבוד ספקטרלי של האות הנמדד לצורך המשך האנליזה. האנליזה של עכבות הפליטה מתבססת של הנחות מוקדמות על אפשרויות המפתח וכך מגיעים בהדרגה למפתח הנכון. לבסוף יש לבחון טכניקות שונות למימוש בחומרה המאפשרות הטעייה של התוקף או מניעת כל אפשרות לחילוץ אינפורמציה משמעותית מתוך האות הנמדד.

הפרוייקט כולל בחינה של השפעת המימוש החומרתי על הפליטה האלקטרומגנטית של המערך ובניית מודל מימוש המאפשר ביצוע הצפנה יעילה ללא סכנה של פיצוח על ידי תוקפים.

דרישות:

מעגלים ספרתיים

מקורות:

https://www.esat.kuleuven.be/cosic/publications/thesis-182.pdf

609 Guided Physical Implementation of Multiply and Accumulate Unit based on Wallace Tree for FIRs

מימוש פיזי נשלט של מכפלי ל wallace tree FIR

שם המנחה: Or Maltabashi

אחראי אקדמי: ד"ר אדם תימן

תיאור הפרויקט ותכולתו:

מכפלים מהווים יחידות מפתח במעבדי DSP, ומהווים כ90% מבלוקים של פילטרים. מחקרים רבים נעשו על שיפור המכפלים בצורותיהם השונות אך לא רבים מהם התעסקו במימוש הפיזי שלהם. בפרוייקט נממש מכפל יחודי - wallace tree אשר עבורו נפתח אלגוריתמי place and route חדשים אשר ישפרו משמעותית את המכפל בהיבטי מהירות, הספק ושטח אשר לא מושגים בשימוש בכלים האוטומטיים.

בפרוייקט תצטרכו ללמוד היטב את מבנה המכפלים, לעבוד על האלגוריתמים לפיתוח המכפל, להשתמש בכלי CAD מתקדמים ואף לעזור לפתח כלי חדשני שפיתחנו בבר-אילן. הפרוייקט ייעשה בעבודה צמודה עם חברות תעשייתיות (סטיקספיי וסרגון).

דרישות:

חשיבה יצירתית ורצון ללמוד דברים חדשים

מקורות:

  1. הרצאה 6 בקורס מבוא למעגלים משולבים
  2. http://www.eng.biu.ac.il/temanad/files/2017/02/Lecture-6-Arithmetic-Circuits.pdf

610 Design and implementation of standard cells for controlled placement

תכנון ויישום תא סטנדרטי מורכב, ושילוב בארכיטקטורה מונחית מיקום

שם המנחה: ד"ר אדם תימן, חנן מרינברג

אחראי אקדמי: ד"ר אדם תימן

תיאור הפרויקט ותכולתו:

זכרונות הינן אבן הבניין המרכזי בשבבים אלקטרוניים כיום וממומשים במגוון טכנולוגיות עבור צרכים שונים. בפרוייקט זה, נתמקד בזכרונות הממומשים באמצעות תאים סטנדרטיים - לרוב זכרונות קטנים או בעלי מאפיינים מיוחדים. בפרוייקט נפתח תא סטנדטי ייחודי, המותאם לבניית זכרונות אלה. הפרוייקט יכלול חשיבה ותכנון של standard cell המכיל יחידת זיכרון כדוגמת latch וגם שערים לוגיים.

שילוב של התא (תאים) בארכיטקטורה מונחית מיקום (controlled placement) ויישום פיזי בכלי place and route.

הפרוייקט יבוצע בצמוד עם חברת סיווה.

דרישות:

קורס ומעבדה בספרתיים

מקורות:

Teman, et al. "Power, Area, and Performance Optimization of Standard Cell Memory Arrays Through Controlled Placement" https://dl.acm.org/citation.cfm?id=2890498

611 Efficient low-level Cache development based on Standard-Cells

פיתוח זכרון מטמון יעיל מסדר נמוך, מבוסס תאים סטנדרטיים

שם המנחה: ד"ר אדם תימן, אודי קרא

אחראי אקדמי: ד"ר אדם תימן

תיאור הפרויקט ותכולתו:

בשנים האחרונות, פותחה ארכיטקטורת מעבד חדשנית בשם RISC-V אשר גורמת למהפכה עולמית. בחודשים האחרונים, התחיל מאגד חדש בין חברות תעשייתיות לאקדמיות, אשר תתמקד בפיתוח גרסת כחול-לבן של מעבד זה. במסגרת זו, אנו מציעים פרוייק של תכנון ארכיטקטוני של מערך זכרון המטמון ומנגנון הניהול שלו. הפרוייקט יכלול מימוש ובדיקה ב VERILOG ברמת RTL.

ניתוח יעילות ושיפור מנגנון הניהול במונחי Hit/Miss Rate. סינטזה לוגית, אנליזה ושיפור תדר עבודה מקסימלי.

הפרוייקט יבוצע בשיתוף פעולה עם חברות תעשייתיות (דולפין, סטיקספיי ועוד).

דרישות:

תכן לוגי

מקורות:

חומר רקע לדוגמא: http://www.cs.utexas.edu/users/mckinley/352/lectures/16.pdf

612 Development of a dynamic memory with an internal refresh mechanism

פיתוח זכרון דינמי בעל מנגנון ריפרש פנימי

שם המנחה: ד"ר אדם תימן, רומן גולמן, ד"ר אמיר שלום

אחראי אקדמי: ד"ר אדם תימן

תיאור הפרויקט ותכולתו:

זכרונות הינן אבן הבניין המרכזי בשבבים אלקטרוניים כיום וממומשים במגוון טכנולוגיות עבור צרכים שונים. בפרוייקט זה נתכנן תא זיכרון דינמי חדשני בעל מנגנון Refresh פנימי, מהיר ויעיל שמאפשר חסכון בהספק במערכי זיכרון. הפרוייקט יכלול הגדרת הרעיון, מימוש סכימת המעגל, ביצוע סימולציות, מימוש התא בלייאאוט ובשאיפה, הכנת מערך מלא לייצור בשבב בדיקה.

דרישות:

משולבים 83-313

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip, https://www.springer.com/gp/book/9783319604015

613 Dual Mode Logic for low energy and high performance

לוגיקת DML לביצועים גבוהים וצריכת אנרגיה נמוכה

שם המנחה: נתנאל שביט ודר' רמירו טאקו

אחראי אקדמי: פרופ' אלכס פיש

תיאור הפרויקט ותכולתו:

Dual Mode Logic הינה לוגיקה שפותחה בקבוצה שלנו, המשלבת בין לוגיקת cmos הנפוצה, ובין לוגיקה דינמית, ברמת השער (nand/nor וכדומה). בלוגיקה זו קיימים שני מצבי פעולה: א. מצב סטטי- שבו יש שמירת אנרגיה וביצועים נמוכים. ב. מצב דינמי- שבו הביצועים גבוהים אך גם צריכת האנרגיה גבוהה. הלוגיקה הראתה תוצאות טובות מאוד בטכנולוגיות 65nm, 40nm.

האתגרים בלוגיקה זו רבים. החל מבחינה של גדלי הטרנזיסטורים בכל שער, דרך חיבור בין שערים שונים, ועד יצירת מודולים גדולים ומורכבים יותר. מתוך כך גם קיימות אינסוף אפשרויות למהנדס בתכנון בDML, והיכולות בלוגיקה זו רבות ומגוונות.

בפרויקט זה הסטודנטים יבחנו את אפשרות המימוש של הלוגיקה בטכנולוגיות מתקדמות (28nm/16nm), וכן יממשו מודול גדול ומורכב.

דרישות:

  • קורס מעגלים ספרתיים 83-308.
  • מעבדה למעגלים ספרתיים 83-315
  • מעגלים משולבים ספרתיים 83-313

מקורות:

מאמר בסיסי המציג את הלוגיקה:

http://ieeexplore.ieee.org/abstract/document/6220906/ 

614  Estimation of Secret Information Leakage from Cryptographic System

אומדן האינפורמציה הסודית שפולטת מערכת הצפנה בזמן פעולתה

שם המנחה: דוד צוקר זביב

אחראי אקדמי: פרופ' אלכס פיש

תיאור הפרויקט ותכולתו:

במסגרת הפרוייקט הסטודנטים יממשו מערכת קריפטוגרפית ויבדקו אותה בתנאים שונים. הסטודנטים ימדדו דגימות של צריכת הזרם וקרינה א"מ, ומהמידע הגולמי יהיה עליהם לחשב בעזרת כלים של למידת מכונה את האינפורמציה ההדדית (האמפירית) בין המפתח הסודי ובין הדגימות, כלומר למצוא כמה ביטים של המפתח הסודי זולגים החוצה. הסטודנטים ישוו בין שיטות קיימות למדידת האינפורמציה ההדדית לבין השיטה אותה הם יפתחו בפרויקט.

פרויקט זה משלב מחקר תאורתי אינטנסיבי יחד עם מדידות מעשיות במעבדות ENICS. דרושים סטודנטים אשר מתעניינים ו/או בעלי ידע בתורת האינפורמציה ולמידת מכונה.

דרישות:

  • קורס מעגלים ספרתיים 83-308.
  • התקפות על מערכות חומרה 83-625
  • שיטות תכנון מעגלים בטוחים 83-452.

מקורות:

  1. http://www.mathworks.com/help/stats/nonparametric-and-empirical-probability-distributions.html
  2. Nonparametric Entropy Estimation: An Overview
  3. http://papers.nips.cc/paper/1040-empirical-entropy-manipulation-for-real-world-problems.pdf
  4. https://pdfs.semanticscholar.org/23d6/454604d33fc1be3db6ef2008a5910b1fbee0.pdf
  5. http://www.ism.ac.jp/editsec/aism/pdf/045_1_0069.pdf
  6. ON THE PERFORMANCE OF HISTOGRAM-BASED ENTROPY ESTIMATORS

615 CTRNN implmentation on a eFPGA/X2-DSP partitioned platform

מימוש רשת נוירונים על גבי פלטפורמה משולבת eFPGA/CEVA-X2

שם המנחה: יונתן שושן

אחראי אקדמי: ד"ר אדם תימן

תיאור הפרויקט ותכולתו:

במסגרת הפרויקט הסטודנטים יבחנו דרכים שונות למימוש רשת נוירונים על גבי פלטפורמת SOC הכוללת מעבדי DSP מסוג CEVA X2 וכן ליבות FPGA של חברת flexlogix. רשת הנוירונים מיועדת לזיהוי כיוון הדובר ע"י עיבוד אותות משני מיקרופונים.

הסטודנטים יצטרכו ללמוד את תצורת המערכת, מרכיבי האלגוריתם והממשקים השונים בין כל החלקים. שלב הלימוד יכלול רכישת ידע תיאורטי וכן ידע מעשי בהפעלת כלי התוכנה והחומרה השונים.

לאחר שלב הלימוד, הסטודנטים יעבדו על מימוש האלגוריתם בשילוב של תכן חומרה ותוכנה משולב. חלק מהותי בעבודה יהיה מציאת חלוקת העבודה האופטימלית בין חלקי המערכת, תוך ניסיון לנצל את מירב הקיבולת של רכיבי הeFPGA.

בשלב האחרון יעבדו הסטודנטים על הפעלת המערכת לצורך הדגמת ביצועיה.

דרישות:

אין דרישות נוספות

מקורות:

  1. K. Voutsas and J. Adamy, "A Biologically Inspired Spiking Neural Network for Sound Source Lateralization," in IEEE Transactions on Neural Networks, vol. 18, no. 6, pp. 1785-1799, Nov. 2007
  2. J. C. Gallagher, S. K. Boddhu and Saranyan Vigraham, "A reconfigurable continuous time recurrent neural network for evolvable hardware applications," 2005 IEEE Congress on Evolutionary Computation, Edinburgh, Scotland, 2005, pp. 2461-2468 Vol. 3.
  3. CEVA-X2 Architectural spec
  4. SoC2 Architectural Spec

616 Implementation of a GC-eDRAM simulator

מימוש סימולטור לבניית מערך זיכרון מסוג GC-eDRAM וחישוב ה-Retention Time של תא במערך

שם המנחהרומן גולמן

אחראי אקדמי: ד"ר אדם תימן

תיאור הפרויקט ותכולתו:

בפרויקט זה נבדוק ונבצע סימולציות למעגל המממש תא זיכרון מסוג GC-eDRAM שעשוי להיות אלטרנטיבה לתא ה-SRAM הקלאסי הן מבחינת שטח והן מבחינת אופן הפעולה של התא.

לאחר הבנה מעמיקה של פעולת תא הזיכרון (קריאת וכתיבת מידע אל ומהתא), נוכל לבנות מערך זיכרון המורכב מתאים מסוג זה, לבדוק את השפעתם אחד על השני ולבסוף לחשב את זמן השימור של המידע (Retention Time) ואת השפעתו הרבה על תפקודו ופעולתו התקינה של המערך.

לבסוף נפתח סימולטור בשפת פייתון אשר יעבוד יחד עם Virtuoso.

הסימולטור יקבל מהמשתמש גודל מערך תאי זיכרון מהסוג הנ"ל ויבצע הרצות לחישוב ה-Retention Time בצורה אמינה ואיכותית יותר.

דרישות:

אין דרישות נוספות

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5.
  3. Teman A, Rossi D, Meinerzhagen P, Benini L, Burg A (2016) Power, area, and performance optimization of standard cell memory arrays through controlled placement. ACM Transactions on Design Automation of Electronic Systems (TODAES) 21(4):59

617  /*-->*/ Design of Peripheral Circuits for Ternary Arrays

/*-->*/ תכנון מעגלים פריפריים לזכרונות טרנריים

שם המנחהרומן גולמן

אחראי אקדמי: ד"ר אדם תימן

תיאור הפרויקט ותכולתו:

/*-->*/ The ternary numeral system (also called base 3) has three as its base. Analogous to a bit, a ternary digit is a trit (trinary digit). One trit is equivalent to about 1.58496 (log2(3)) bits of information.

One major drawback coming from the SN capacitor that store’s the value of the cell, is the SN voltage degradation. On the other hand the use of floating capacitor, that is not connected to supply rail, allows to store more than 2 voltage levels in the cell.

The majority of conventional embedded memories are based on the 6-transistor (6T) SRAM bitcell, storing a binary value of '0' or '1'. Gain-Cell embedded DRAM (GC-eDRAM) has become increasingly popular in recent years as a high-density alternative to the SRAM memories.

One major drawback coming from the SN capacitor that store’s the value of the cell, is the SN voltage degradation.

On the other hand the use of floating capacitor, that is not connected to supply rail, allows to store more than 2 voltage levels in the cell.

In particular we can store 3 voltage levels in the cell, allowing to compress the stored data. For example, decimal 365 corresponds to binary 101101101 (nine digits) and to ternary 111112 (six digits).

The main challenge in building such a cell is the readout mechanism. This challenge was addressed in the project "Ternary Storage In Gain Cells-eDRAM" (2018).

In this project ternary decoders and encoders will be developed, as well as the timing peripherals required for successful tape out of the array.

דרישות:

אין דרישות נוספות

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5.
  3. Teman A, Rossi D, Meinerzhagen P, Benini L, Burg A (2016) Power, area, and performance optimization of standard cell memory arrays through controlled placement. ACM Transactions on Design Automation of Electronic Systems (TODAES) 21(4):59