פרויקטי גמר - הנדסת מחשבים - המעבדה לחומרה - תשפ"א

901 Exponential security with linear cost - design

בטיחות אקספוננציאלית עם עלות ליניארית - תכנון ומימוש

שם המנחה: איתמר לוי
אחראי/ת אקדמי/ת: דר' איתמר לוי

הרקע לפרויקט:

Can be taken from both Cyber/Hardware tracks (comp. eng.) and from Nanoelectronics track (EE).

The project deals with security of cyber/crypto systems when facing adversaries in the physical world. Specifically, it will challenge the architectural aspects and modeling of an advanced randomization technique to protects against side-channel-attacks on electronic devices.

The general idea is to utilize the purpose low-cost power randomization hierarchical technique to defend against such attacks.

The goal would be to provide exponential security with linear cost (area, energy etc.).

We will design, model and simulate the proposed architectures.

מטרת הפרויקט:

This project will work closely with Project 1 on the topic.

This part of the project will focus on the actual circuit building blocks, design, implementation, electronic simulations etc.

תכולת הפרויקט:

  • Design and implement the required circuits
  • Simulate and analyze the results

קורסי קדם:

Base:

  • 83308 מעגלים אלקטרונים ספרתיים
  • 83256 תיאוריה של מערכות ספרתיות
  • 83313 מעגלים משולבים ספרתיים
  • 83612 מעגלים דיגיטאליים ומערכות VLSI

דרישות נוספות:

Bonus:

  • מעגלים אנלוגיים משולבים 83611

מקורות:

Will be given upon personal meeting

902 Sense the sensor and laser, and locality driven design - design

חוש את החיישן או הלייזר ותכנון לוקאלי - תכנון ומימוש

שם המנחה: איתמר לוי
אחראי/ת אקדמי/ת: דר' איתמר לוי

הרקע לפרויקט:

The project deals security of cyber/crypto systems when facing adversaries in the physical world. Specifically, it will challenge a paradigm of sensing electromagnetic EM sensors and fault injection, FI, probes with the best that we have and protecting / providing lock down mechanisms for electronic devices.

The general idea is to utilize for the purpose low-cost sensors for voltage and temperature sensors.

We will evaluate our mechanisms with a professional EM and Laser injection stations.

We will want to design very local sensors to restrict the resolution of the adversary.

מטרת הפרויקט:

This project will work closely with Project 1 on the topic.

This part of the project will focus on the design part of the electronic sensors and simulations (mainly hardware and design).

תכולת הפרויקט:

  • Design and implement the sensing circuits
  • Simulate and evaluate their robustness under various conditions related to the specified attacks

קורסי קדם:

Base:

  • 83308 מעגלים אלקטרוניים ספרתיים
  • 83256 תיאוריה של מערכות ספרתיות
  • 83313 מעגלים משולבים ספרתיים
  • 83612 מעגלים דיגיטאליים ומערכות VLSI

דרישות נוספות:

Bonus:

  • מעגלים אנלוגיים משולבים 83611

מקורות:

will be given upon personal meetings

903 Digital Design of GC-eDRAM for different applications

תכנון דיגיטלי של זכרון דינאמי מוטמע עבור אפליקציות שונות

שם המנחה: אודם הראל, רומן גולמן
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

בפרוייקט זה נעבוד עם טכנולוגיה של tsmc65 ונשתמשים בכלים של virtuoso שנלמדו בכיתה על מנת לתכנן מערך זכרון שיעבוד תחת תנאים ספציפים ומיוחדים עליהם יש ביקוש בשוק התעשייה. כ

יום בכל צ'יפ ומכשיר אלקטרוני, חלק נכבד מתכולתו הינה הזכרון ולכן עובדים הרבה על לשפר את הזכרון ולמצוא פתרונות חדשים לטכנולוגיות ופלטפורמות חדשניות.

מטרת הפרויקט:

מטרת הפרוייקט היא ליצור ארכיטקטורה של בלוק זכרון דינאמי אשר יעבוד בספסיפיקציות מיוחדות אשר לשם הולכת הטכנולוגיה של ימנו (כגון- מערך זכרון אשר יעבוד בטמפרטורות נמוכות מאוד).

הזכרון יעבוד ויתפקד בצורה מיטבית ויהיה מוכן כמוצר מוגמר.

תכולת הפרויקט:

הפרוייקט תחילה נערוך סקר ספרות על מנת להבין את עולם הזכרונות. לאחר מכן נעזר בתוכנת virtuoso לתכנון ובניה של הרכיבים שצריך בשביל לבנות בלוק זכרון עובד ומתפקד.

חלק מהרכיבים נממש גם בלייאוט בכדי להיות מוכנים למוצר מוגמר לייצור. הבלוק יהיה בעל תכוניות מיוחד שלא נעשו בעבר.

קורסי קדם:

  • מעגלים משולבים ו/או מעגלים ספרתיים (ואת המעבדה שלה).

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip by Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish. 

 

פרויקטים נוספים מומלצים

201 Guided Physical Implementation of Cordic rotation Unit

מימוש פיזי נשלט של יחידת חישוב קואורדינטות מבוססת תאים סטנדרטיים


שם המנחה: חנן מרינברג
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

CORDIC is an iterative algorithm for calculating trig functions including sine, cosine, magnitude and phase. It is particularly suited to hardware implementations because it does not require any multiplies.

מטרת הפרויקט:

בפרוייקט נממש יחידת Cordic יחודית אשר עבורה נפתח אלגוריתמי place and route חדשים אשר ישפרו משמעותית את היחידה בהיבטי מהירות, הספק ושטח אשר לא מושגים בשימוש בכלים האוטומטיים. במטרה להשתלב ביישום פיזי של ארכיטקטורת מעבד ה RISC-V המתפתחת במעבדות ננו בבר אילן.

תכולת הפרויקט:

בפרוייקט תצטרכו ללמוד היטב את מבנה היחידה, לעבוד על האלגוריתמים לפיתוח, לפתח ארכיטקטורת חומרה מתאימה, וליישמה. להשתמש בכלי CAD מתקדמים בעזרת כלי חדשני למיקום מונחה שפיתחנו בבר-אילן.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים 83-308.
  • מעגלים משולבים ספרתיים 83-313

מקורות:

https://en.wikipedia.org/wiki/CORDIC

 

203 Design and implementation guided architecture for Ternary Content-Addressable Memory

תכנון ויישום ארכיטקטורת זיכרון TCAM מונחה מיקום

שם המנחה: חנן מרינברג
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

זיכרונות הינן אבן הבניין המרכזי בשבבים אלקטרוניים כיום וממומשים במגוון טכנולוגיות עבור צרכים שונים. בפרויקט זה, נתמקד בזיכרונות TCAM הממומשים באמצעות תאים סטנדרטיים.

מטרת הפרויקט:

בפרויקט הזה נתעסק בלתכנן וליישם בלוק שבמהותו הוא זיכרון, אבל מתוחכם יותר מ RAM סטנדרטי וכולל גם מעגלים דיגיטליים חישוביים.

תכולת הפרויקט:

בפרוייקט תצטרכו ללמוד היטב את מבנה היחידה, לעבוד על האלגוריתמים לפיתוח, לפתח ארכיטקטורת חומרה מתאימה, וליישמה. להשתמש בכלי CAD מתקדמים בעזרת כלי חדשני למיקום מונחה שפיתחנו בבר-אילן.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים 83-308.
  • מעגלים משולבים ספרתיים 83-313

מקורות:

https://en.wikipedia.org/wiki/Content-addressable_memory

211 Design Neural Network Accelerator Circuits

תכנון מעגלי האצה לרשתות ניורונים

שם המנחה: אור מלטבשי
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

רשתות ניורונים הפכו להיות נושא חם ונפוץ בחמש שנים האחרונות. דבר המחייב שיפור ביצועים מתמיד של הרשתות השונות וכן חומרה אשר תתמוך בדרישות השונות של המערכות. בפרויקט זה נעסוק בתכנון בתכנון מעגלים יעודיים להאצת רשתות ניורונים וכן בשיפור ביצועי מעגלים קיימים.

מטרת הפרויקט:

מטרת הפרויקט הינה תכנון המעגלים השונים, ביצוע סימולציות שלהם, ומימוש פיזי (layout/place and route).

תכולת הפרויקט:

הסטודנטים יתכננו את המעגל ויבצעו סימולציות שונות שלו בהיבטי ביצועים והספק. לאחר מכן יממשו אותו פיזית (layout/place and route) ויבחנו את הביצועים שלו (מהירות, הספק ושטח) לאחר מימוש פיזי.

קורסי קדם:

  • מעגלים משולבים ספרתיים

מקורות:

A Survey of Accelerator Architectures for Deep Neural Networks - מאמר

212 In-memory computing using GC-eDRAM

ביצוע פעולות חישוביות בזמן קריאת זיכרון דינאמי

שם המנחה: רומן גולמן
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications.

One of the drawbacks of this technology is the limited data retention time (DRT) due to parasitic leakage currents.

מטרת הפרויקט:

The unique features of the GC-eDRAM memories, require specialized memory block design, but also an opening for implementing in-memory logical computations with very little overhead. For example readout of an logical "NOR" function result for two adjacent memory rows can be performed in such a memory with very little overhead. In this project we aim to design a GC-eDRAM memory that will allow to perform various logical computation with data stored in the memory.

תכולת הפרויקט:

The students will participate in a development of unique memory design and architecture. They will be required to suggest and implement novel ideas in memory design and run various simulations to prove the suggested memories reliability.

קורסי קדם:

  • Digital Integrated Circuits

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5.

213 Improving data integrity in embedded memories by applying algorithmic/statistical methods

שיפור שימור מידע בזכרונות מוטמעים בשילוב שיטות אלגוריתמיות/סטטיסטיות

שם המנחה: רומן גולמן
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications. One of the drawbacks of this technology is the limited data retention time (DRT) due to parasitic leakage currents.

מטרת הפרויקט:

The project is a research project with both theoretical and implementation components, intended for both Electrical and Computer Engineering students.

תכולת הפרויקט:

In this project, the students will model the probability of cell failures and explore the possibilities of improving the DRT through algorithmic approaches mixed with circuit design techniques.

קורסי קדם:

  • מעגלים משולבים ספרתיים 83-313

דרישות נוספות:

The work will include Matlab, and probably Verilog/Virtuoso components.

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5

214 Design and Implementation of Content-addressable memory

תכנון ומימוש זכרונות CAMs

שם המנחה: אור מלטבשי וחנן מרינברג
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

זכרונות CAMs הן זכרונות שמשתמשים בהם באפליקציות הדורשות מהירות גבוהה בחיפוש של ערך מסויים בזיכרון. בפרויקט זה נתכנן ונממש זיכרון CAM ונבחן את הביצועים שלו בהשוואה לזיכרונות חיפוש אחרים.

מטרת הפרויקט:

מימוש זיכרון CAM בעל מהירות גבוהה וצריכת הספק נמוכה.

תכולת הפרויקט:

תכנון ארכיטקטורה לזכרון CAM, מימוש פיזי של הזיכרון וביצוע סימולציות להשוואה

קורסי קדם:

  • מעגלים משולבים ספרתיים

מקורות:

  1. Content-Addressable Memory (CAM) Circuits and Architectures: A Tutorial and Survey - paper

215 Design Configurable Circuits

תכנון מעגלים קונפיגורביליים

שם המנחה: אור מלטבשי
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

על מנת לאפשר התאמה של מעגל חומרתי מסויים לאפליקציות שונות אשר הדרישות שלהם שונות חשוב לממש את המעגלים קונפיגורבילים כמה שניתן בזמן ריצה. בפרויקט זה נסתכל על מספר מעגלים ונהפוך אותם לקונפיגורביליים .

מטרת הפרויקט:

מימוש מעגלים נפוצים באופן קונפיגורבילי תוך כדי זמן ריצה

תכולת הפרויקט:

הסטודנטים יקבלו 2 סוגי מעגלים שונים ויתכננו איך ניתן להוסיף חומרה אשר תגרום להפיכתם למעגל אחד אשר הקונפיגורציה שלו נקבעת ע"י controller .

קורסי קדם:

  • מעגלים משולבים ספרתיים

מקורות:

ינתנו ע"פ התעניינות בפרויקט

216 Memories in Cryogenic conditions

זכרונות בתנאים קריוגניים

שם המנחה: יוסי גרינבלט
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

In the project we'll use special PDK with characterization for cryogenic temperatures, and design our memory according to the behavior of the cells in those conditions.

מטרת הפרויקט:

The goal is to build a functioning memory that's optimized to working in cryo temperatures.

תכולת הפרויקט:

  • Start with research on cryogenic temperatures.
  • Run simulations on Virtuoso and design the memory accordingly.

קורסי קדם:

  • מעגלים משולבים ספרתיים (83313)
  • מעגלי ומערכות VLSI דיגיטליים (83612)

מקורות:

  1. Device and Circuit Cryogenic Operation for Low Temp Electronics

217 Architecture design of low-power embedded DRAM

תכנון ארכיטקטורה של זכרון דינאמי מוטמע דל הספק

שם המנחה: אודם הראל
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

כיום רוב השטח של הצ'יפים אותם אנו רואים בכל מכשיר אלקטרוני יום-יומי מנוצל על ידי הזכרונות, לכן יש להם חשיבות רבה וקריטית כאשר מתכננים אותם. לשם כך, מנסים תמיד לפעול להקטנת שטחם, הקטנת צריכת ההספק שלכם ולייעל את הפונקציונליות. פרמטרים רבים נלקחים בחשבון הן ברמת ההתקן הבודד וגם למעלה בהיררכיית מימוש המאקרו של הזכרון.

מטרת הפרויקט:

מטרת הפרוייקט היא כאמור, ליצור ארכיטקטורה של זכרון יחד עם הפריפריות הנלוות אליו תוך כדי התחשבות בפרמטרים שיביאו לצמצום בשטח, הספק ומהירות רבה של הבלוק. ניצור ברמת ההתקן את הזכרון הדיגיטלי יחד עם הפריפריות השונות שנצטרך על מנת להפעיל את הזכרון לקריאה וכתיבה נכונה.

תכולת הפרויקט:

בפרוייקט זה, הסטודנטים יעבדו עם מערכת virtuoso על מנת לתכנן זכרון ברמת הסכימה והן הרמת הלייאוט. תחילה, ידרשו לתכנן איך יעבוד הזכרון ואילו פריפריות יידרשו על מנת ליצור בלוק יעיל ומשופר שיפיעלו את הזכרון. יחד עם זאת, ניצור בקר חיצוני ונלמד כיצד מוטמע זכרון במערכת מחשובית בימנו.

קורסי קדם:

מעגלים משלובים או/ו מעגלים ספרתיים (יחד עם המעבדה)

דרישות נוספות:

ידע ב virtuoso

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish

 

218 Static Power Analysis Attacks – How to get rid of this nuisance

תקיפות הספק סטטיות - איך להפרד מהן

שם המנחה: איתמר לוי
אחראי/ת אקדמי/ת: דר' איתמר לוי

הרקע לפרויקט:

The project deals with security of cyber/crypto systems when facing adversaries in the physical world. Specifically, the students will challenge the issue of Static power analysis attacks (Static SCAs) from microelectronic devices.

The goal of the project will be to resist such attacks fostering protection circuitry which will be designed along the project.

The general idea is to utilize very efficient (energy and area) randomization circuitry at the block level.

The students will also perform advanced attacks to evaluate their designs and improvements and will evaluate several concrete electronic and security metrics to conclude efficiency.

The students will also build measurement acquisition and evaluation experiment setup for the purpose.

מטרת הפרויקט:

This project will deal specifically with building circuits and architectures, simulating and evaluating their outcome security against static power-analysis attacks.

The students will implement specific chosen benchmarks and embed circuit-level protection, they will implement attacks in Matlab/Python and evaluate security with common/known attacks.

תכולת הפרויקט:

  • building simulation environment
  • building uni-variate attacks environment Python/Matlab
  • evaluating security and electronic performance
  • building in-lab measurement and evaluation setup

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים 83308
  • תיאוריה של מערכות ספרתיות 83256
  • מעגלים משולבים ספרתיים 83313
  • מעגלים דיגיטאליים ומערכות 83612 VLSI

דרישות נוספות:

Bonus but not a must:

  • מעגלים אנלוגיים משולבים 83611

מקורות:

will be given in a personal meeting

219 Hardware Accelerator for machine deep learning fully connected layers

מאיץ חמרה עבור מערכת למידת מכונה עמוקה ליישום שכבות בקישור-מלא

שם המנחה: אודי קרא
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

למידת מכונה עמוקה באמצעות רשתות נוירונים מלאכותיות הינה כיום טכנולוגיה מובילה המיושמת בתחומים רבים, הדרישות ההולכות וגדלות מביצועי מערכות אלו מציבות אתגרי קצה עבור זמני תגובה וצריכת הספק לפעולה אשר אינם ניתנים להשגה בעיבוד תכנה בלבד ללא מאיצי חמרה. רשת הנוירונים העמוקה מורכבת משכבות רבות מסוגים שונים בכללם מספר שכבות בקישור מלא המאופינות ע"י הצורך להזרים אליהם ולעדכנם במידע רב בקצבים גבוהים ובתחלופה גבוהה מסדר גודל של מאות GB/s. פרויקט זה יתמודד עם אתגרים אלו באמצעות תשתית חמרה קונפיגורבלית מוכוונת מימוש פיזיקלי באופן אופטימלי לדרישות המערכת.

מטרת הפרויקט:

ההישגים הצפויים מהפרויקט הינם הגדרה, פיתוח ויישום של מאיץ רשת-נוירונים בקישוריות מלאה. התוצרים הינם: מחקר מקיף על פתרונות קיימים, הגדרת פתרון מתקדם, מימוש והדגמה שימושית של המאיץ על כרטיס מבוסס FPGA, וניתוח יעילות התוצאה.

תכולת הפרויקט:

מטלות הסטודנטים יכללו הגדרה, פיתוח קוד ורילוג, קוד בדיקה, סינטזה תקינה של המאיץ עבור טכנולוגיה של לפחות 65 ננומטר, בניית ממשק ומעטפת תכנה למאיץ

קורסי קדם:

  • אלגברה ליניארית
  • מעגלי ומערכות VLSI דיגיטליים
  • מעגלים משולבים ספרתיים -מומלץ
  • מעבדה מתקדמת בננו-אלקטרוניקה (מעבדת ה- FPGA)
  • עקרונות של תכנון מערכות דיגיטליות

מקורות:

https://en.wikipedia.org/wiki/AI_accelerator (see also plenty of links in references)

220 Hardware Accelerator for machine deep learning convolution layers

מאיץ חמרה עבור מערכת למידת מכונה עמוקה ליישום שכבות-קונבלוציה

שם המנחה: אודי קרא
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

למידת מכונה עמוקה באמצעות רשתות נוירונים מלאכותיות הינה כיום טכנולוגיה מובילה המיושמת בתחומים רבים, הדרישות ההולכות וגדלות מביצועי מערכות אלו מציבות אתגרי קצה עבור זמני תגובה וצריכת הספק לפעולה אשר אינם ניתנים להשגה בעיבוד תכנה בלבד ללא מאיצי חמרה. רשת הנוירונים העמוקה מורכבת משכבות רבות מסוגים שונים הכוללים שכבות קונבלוציה המאופינות ע"י הצורך מספר רב של פעולות כפל-סיכום (MAC) מסדר גודל של Tera ops/sec לאיפיון קלט. פרויקט זה יתמודד עם אתגרים אלו באמצעות תשתית חמרה קונפיגורבלית מוכוונת מימוש פיזיקלי באופן אופטימלי לדרישות המערכת.

מטרת הפרויקט:

ההישגים הצפויים מהפרויקט הינם הגדרה, פיתוח ויישום של מאיץ רשת-נוירונים בקישוריות מלאה. התוצרים הינם: הדגמה מעשית של הפרויקט בתשתית FPGA, הוכחת יעילות עבור מימוש VLSI

תכולת הפרויקט:

מטלות הסטודנטים יכללו הגדרה, פיתוח קוד ורילוג, קוד בדיקה, סינטזה תקינה של המאיץ עבור טכנולוגיה של לפחות 65 ננומטר, בניית ממשק ומעטפת תכנה למאיץ

קורסי קדם:

  • אלגברה ליניארית
  • מעגלי ומערכות VLSI דיגיטליים
  • מעגלים משולבים ספרתיים -מומלץ
  • מעבדה מתקדמת בננו-אלקטרוניקה (מעבדת ה- FPGA)
  • עקרונות של תכנון מערכות דיגיטליות

מקורות:

https://en.wikipedia.org/wiki/AI_accelerator (see also wiki references with many good links)

602 Exponential security with linear cost - modeling

בטיחות אקספוננציאלית עם עלות ליניארית - מידול

שם המנחה: איתמר לוי
אחראי/ת אקדמי/ת: דר' איתמר לוי

הרקע לפרויקט:

The project deals with security of cyber/crypto systems when facing adversaries in the physical world.

Specifically, it will challenge the architectural aspects and modeling of an advanced randomization technique to protect against side-channel-attacks on electronic devices.

מטרת הפרויקט:

The general idea is to utilize the purpose low-cost power randomization hierarchical technique to defend against such attacks.

The goal would be to provide exponential security with linear cost (area, energy etc.).

We will design, model and simulate the proposed architectures.

This project will work closely with Project 2 on the topic.

This part of the project will focus on the modeling, statistical aspects, building evaluation and attack environments (mainly software, statistics and algorithms).

תכולת הפרויקט:

  • modeling, statistical aspects
  • building evaluation and attack environments (mainly software and algorithms).

קורסי קדם:

Base:

  • 83216 מבוא לסטטיסטיקה והסתברות


דרישות נוספות:

Bonus for the ones which plan to take:

  • Programming with matlab/python is assumed
  • 83457 שיטות תכנון חומרה בטוחה
  • 83458 מבוא לקריפטוגרפיה הנדסה
  • 83673 מבוא לחומרה בטוחה – בניות ומתקפות

מקורות:

Will be given upon personal meetings

603 Sense the sensor and laser, and locality driven design – evaluation framework

חוש את החיישן או הלייזר ותכנון לוקאלי - בניית סביבת אבלואציה

שם המנחה: איתמר לוי
אחראי/ת אקדמי/ת: דר' איתמר לוי

הרקע לפרויקט:

The project deals with security of cyber/crypto systems when facing adversaries in the physical world.

Specifically, it will challenge a paradigm of sensing electromagnetic EM sensors and fault injection, FI, probes with the best that we have and protecting / providing lock down mechanisms for electronic devices.

The general idea is to utilize for the purpose low-cost sensors for voltage and temperature sensors.

We will evaluate our mechanisms with a professional EM and Laser injection stations.

We will want to design very local sensors to restrict the resolution of the adversary.

מטרת הפרויקט:

This project will work closely with Project 2 on the topic.

This part of the project will focus on the evaluation framework, working with the EM and Laser stations, building algorithms and implementing attacks and evaluation environments (mainly software).

תכולת הפרויקט:

Build a software evaluation and automation framework for the laser and electromagnetic stations
Tailor the framework in the context of the outlined project

קורסי קדם:

Bonus courses but not a must layout below

דרישות נוספות:

Base:

  • Programming with matlab/python is assumed


Bonus for the ones which plan to take:

  • 83457 שיטות תכנון חומרה בטוחה
  • 83458 מבוא לקריפטוגרפיה הנדסה
  • 83673 מבוא לחומרה בטוחה – בניות ומתקפות

מקורות:

Will be given upon personal meeting

607 Fuzzy extractor for physically unclonable function (PUF)

יצירת מפתחות סודיים באמצעות פונקציות פיסיקליות שאינן ניתנות לשיבוט (PUF)

שם המנחה: גלעד דר
אחראי/ת אקדמי/ת: דר' אסנת קרן

הרקע לפרויקט:

ה PUF (physically unclonable function) הוא מודול חומרה שאינו ניתן לשיבוט ולכן מהווה תג זיהוי (ID) יחודי המאפיין כל שבב ושבב והיכול לשמש כמפתח סודי.

יתרונו בכך שאין צורך לאחסנו בזכרון, למעשה הוא מיוצר כל פעם מחדש ברגע בו הוא נדרש. איכות ה PUF נמדדת במידת השונות שלו בין רכיבים, ביכולת לשחזר אותו בצורה אמינה בכל זמן ובכל טמפרטורה, ובמידת האינפורמציה שהוא מכיל (אנטרופיה).

ככל אלמנט חומרה אחר, גם ה-PUF סובל משגיאות אקראיות שיש לתקנן כדי לקבל מפתח נכון בר-שימוש.

מטרת הפרויקט:

במסגרת הפרוייקט תבנה מערכת ייצור ושחזרו מפתחות סודיים על בסיס PUF מסוג חדש שפותח במעבדות EnICS. כמו כן תבדק חסינות המערכת מול התקפות זדוניות המנסות לגרום לדליפת מידע במידה המאפשרת בניית רכיב בעל התנהגות זהה ויבנו מנגנונים המגינים על המערכת מפני התקפות מסוג זה.

תכולת הפרויקט:

לימוד חומר רקע, תכנון מערכת המייצרת ומשחזרת מפתחות מ PUF סינטטי במטלב, בדיקת ביצועי המערכת כאשר הקלט מגיע משבב, בדיקת חסינות להתקפות ובחינת אפשרויות הגנה.

קורסי קדם:

  • חובה ללמוד במקביל את הקורס "מבוא לתורת הצפינה"

מקורות:

  1. Physically Unclonable Functions: Constructions, Properties and Applications, PhD thesis by Roel MAES http://www.autex.spb.su/download/wavelet/puf/1.pdf
  2. PUFs in industry, papers by Intrinsic-ID https://www.intrinsic-id.com/
  3. Overview slides http://people.csail.mit.edu/rudolph/Teaching/Lectures/Security/Lecture-Security-PUFs-2.pdf

705 Implementing classical logical gates on a quantum computer 

מימוש שערים לוגיים קלאסיים על מחשב קוונטי

שם המנחה: Adi Makmal
אחראי/ת אקדמי/ת: דר' עדי מכמל

הרקע לפרויקט:

Quantum information aims at performing information processing over quantum devices, by taking advantage of quantum properties, such as superposition and interference. It is well established that quantum computers can achieve universality and different sets of universal quantum gates have already been identified.

This project is part of an ongoing effort to expand the available sets of universal quantum gates by considering novel approaches for data representation over quantum computers.

מטרת הפרויקט:

The goal of this project is to implement newly designed quantum circuits on one of the currently publically available quantum computers, such as IBM's quantum computer, and analyze their behavior.

תכולת הפרויקט:

  1. Understand all the required theoretical background.
  2. Learn how to implement known quantum circuits and quantum algorithms on (most likely, but not necessarily) IBM's quantum computer.
  3. Implement newly designed circuits.

קורסי קדם:

  • אלגברה ליניארית,
  • מערכות לוגיות ספרתיות
  • אינפורמציה קוונטית

מקורות:

Nielsen, M., & Chuang, I. (2010). Quantum Computation and Quantum Information: 10th Anniversary Edition. Cambridge: Cambridge University Press. doi:10.1017/CBO9780511976667

221 Quantum Computing Supporting Circuit Design

תכנון מעגלים לתמיכה במחשוב קוואנטי

שם המנחה: יונתן שושן
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

לצורך מערכת מחשוב קוואנטי נדרשים מעגלים אלקטרוניים תומכים רבים הדומים באופיים למערכות תקשורת. מערכות אלו כוללות, בין היתר, מעבדים, זכרונות, ממירי אנלוג-דיגטיל, מגברים, מסננים ועוד. המיוחד במעגלים לצרכי מחשוב קוואנטי הוא שדרוש להפעילם בטמפרטורות נמוכות מאד של 4 מעלות קלווין ואף פחות מכך.

בנוסף, צמצום צריכת ההספק במעגלים אלה הוא קריטי על מנת לעמוד בתקציב הקירור ולצמצם כלל הניתן את ההפרעות למעגלים הקוואנטיים.

מטרת הפרויקט:

בפרויקט זה הסטודנטים ילמדו על מחשוב קוואנטי ועל תכנון מעגלים בטמפרטורות נמוכות מאד. הסטודנטים יבצעו סימולציות, ימדדו מעגלים קיימים, יבצעו השוואות ויציעו דרכים לשיפור ביצועי המעגלים

תכולת הפרויקט:

ביצוע סקר ספרות בנושא מעגלים בטמפ' נמוכות מאד וכן בנושא ביצוע מדידות. תכנון ובדיקה של מעגלים שונים. מדידת ביצועים של שבבים קיימים והסקת מסקנות.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים
  • מעגלים משולבים ספרתיים

דרישות נוספות:

  • מעגלים משולבים אנלוגיים
  • מבוא למחשוב קוונטי

מקורות:

  1. Feynman, R. Simulating Physics with Computers, Int. J. Theor. Phys. 21, 467 (1982).
  2. Beckers, A., Tajalli, A., Sallese JM. A Review on Quantum Computing: Qubits, Cryogenic Electronics and Cryogenic MOSFET Physics. (2019).
  3. B. Patra et al. Cryo-CMOS Circuits and Systems for Quantum Computing Applications, IEEE Journal of Solid-State Circuits, vol. 53, no. 1, pp. 309-321, Jan. 2018. doi: 10.1109/JSSC.2017.2737549
  4. v. Dijk J., Charbon E., Sebastiano F. The electronic interface for quantum processors, microprocessors and Microsystems, vol. 66, pp. 90-101, 2019

606 Software Design of Secured Encryption System Against Power Analysis Attacks

תכנון מערכת הצפנה מוגנת נגד תקיפות הספק ברמת התוכנה

שם המנחה: דוד צוקר זביב
אחראי/ת אקדמי/ת: פרופ' אסנת קרן

הרקע לפרויקט:

כל פונקציה קריפטוגרפית, לדוגמה מצפין AES, פועלת לבסוף על חומרה בעולם האמיתי (גם אם היא ממומשת בתוכנה). הפעולה בחומרה גורמת ליצירת ערוצי צד שמהם זולג מידע סודי מהמערכת. בקצרה, על ידי ניתוח צריכת ההספק של השבב (גם אם הפונקציה מומשה בחומרה, וגם אם זה שבב של מעבד שעליו רצה התוכנה) ניתן לחלץ את המתפתח הסודי ששימש להצפנה. אנחנו במעבדה מנסים לפתח הגנות על מנת להקשות על חילוץ המידע הזה.

מטרת הפרויקט:

במסגרת פרויקט זה הסטודנטים יכתבו אלגוריתם הצפנה (AES או PRESENT) בתוכנה (שפת C), יריצו אותו על מעבד מסחרי ואז יפרצו אותו. לאחר מכן, הסטודנטים יפתחו הגנות ברמת האלגוריתם, אשר יקשו על הפריצה. בין היתר ההגנות יכללו מניפולציה של המידע שעובר בתוך הרגיסטרים של המעבד.

תכולת הפרויקט:

כתיבת מצפין בתוכנה, הרצה על מעבד מסחרי, מדידת ההספק של השבב במעבדה, ניתוח המדידות במטלב וחילוץ המפתח הסודי. פיתוח הגנות ברמת האלגוריתם, ואף ברמת האסמבלי. מדידה וניתוח של הביצועים והבטיחות של ההגנה.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים 83308

דרישות נוספות:

  • ידע בשפת C
  • ידע ב־MATLAB.

מקורות:

Mangard, S., Oswald, E., & Popp, T. (2008). Power analysis attacks: Revealing the secrets of smart cards (Vol. 31). Springer Science & Business Media.‏

607 Utilizing Machine Learning for Hardware Security

שימוש בלמידת מכונה עבור אבטחת חומרה

שם המנחה: דוד צוקר זביב
אחראי/ת אקדמי/ת: פרופ' אסנת קרן

הרקע לפרויקט:

כל פונקציה קריפטוגרפית, לדוגמה מצפין AES, פועלת לבסוף על חומרה בעולם האמיתי (גם אם היא ממומשת בתוכנה). הפעולה בחומרה גורמת ליצירת ערוצי צד שמהם זולג מידע סודי מהמערכת. בקצרה, על ידי ניתוח צריכת ההספק של השבב (גם אם הפונקציה מומשה בחומרה, וגם אם זה שבב של מעבד שעליו רצה התוכנה) ניתן לחלץ את המפתח הסודי ששימש להצפנה. אנחנו במעבדה מנסים לפתח מטריקות למדידת כמות המידע הזולג מהמערכת.

מטרת הפרויקט:

במסגרת פרויקט זה הסטודנטים יממשו שיטות קיימות של למידת מכונה על מנת לבצע ניתוח לצריכת ההספק של אלגוריתם הצפנה. בתוך כך, הם יבצעו תקיפות ומדידת כמות המידע הזולג ממערכות מוגנות ולא מוגנות.

תכולת הפרויקט:

סקירת ספרות מקיפה, מימוש אלגוריתמים בפייתון, מדידת שבבים קריפטוגרפיים מוגנים ולא מוגנים, וניתוח המדידות.

קורסי קדם:

  • 83622 - מבוא ללמידת מכונה.
  • 83308 - מעגלים אלקטרוניים ספרתיים

מקורות:

  1. Mangard, S., Oswald, E., & Popp, T. (2008). Power analysis attacks: Revealing the secrets of smart cards (Vol. 31). Springer Science & Business Media.

233 Characterization and implementation of dynamic memories in Quantum technology

אפיון ומימוש מערך זכרון דינאמי בטכנולוגיה קוונטית

שם המנחה: אודם הראל
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

רכיבי זכרון הם המרכיב העיקרי בשבבים היום -יומיים שלנו. יחד עם זאת, הטכנולוגיה הקוונטית הינה פורצית דרך ויש המון מחקרים ומירוץ לממש בלוקים בטכנולוגיה זו. בפרוייקט זה, נרצה תחילה לחקור ולאפיין את התנהגויות של רכיבים בטכנולוגיה קוונטית, כלומר בטמפרטורות נמוכות של 4K, ולהסיק מסקנות על מנת לממש זכרונות בטכנולוגיה זו שיהיו יעילים ומשופרים.

מטרת הפרויקט:

מטרת הפרוייקט היא בראשונה להיחשף לעולם הקוונטי החדשני ואטקרטיבי. לאחר מכן נלמד לאפיין ולבדוק את ההשפעה שלו על שערים לוגיים ומשם איך לבנות ארכיטקטורה של מערך זכרון שיעבור בטמפרטורות אלו. נבצע סימולציות ונבנה רכיבים תומכים על מנת להגיע לבלוקים עובדים של זכרון.

תכולת הפרויקט:

סקר ספרות על העולם הקוונטי ועל זכרונות דינאמיים. סימולציות בוירטואוזו של בלוקי זכרון ובניית מערך עם פריפריות מתפקדות. ייתכן גם בדיקה על צ'יפים ממשיים לאפיון הרכיבים.

קורסי קדם:

קורס ומעבדה של ספרתיים, רצוי גם משולבים אך לא חובה.

מקורות:

1 Meinerzhagen, Pascal et al. Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip / Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish. Cham, Switzerland: Springer, 2018. Web.

234 Deep Learning Data Compression

דחיסת מידע למאיצי למידה-עמוקה

שם המנחה: יהודה קרא
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

מאיצי מערכות למידה עמוקה מוגבלות במידה רבה על ידי קצב תעבורת הנתונים ומשתני-החישוב בין המאיץ , הזכרונות, והמעבד. על מנת לייעל תהליך זה נדרשת טכנולוגית דחיסה יעילה מבוססת חמרה המאפשרת דחיסה ופרישה של מידע רב בקצב עיבוד הנתונים

מטרת הפרויקט:

פיתוח פתרון חמרה לדחיסה ופרישה של מידע למידה-עמוקה

תכולת הפרויקט:

  • פיתוח אלגוריתים ייעודי לדחיס יעילה של מערכות למידה-עמוקה
  • פיתוח יחידת חמרה המממשת את האלגוריתים ב VERILOG
  • פיתוח סביבת בדיקה המימוש ליחידה
  • מימוש והדגמת FPGA של היחידה
  • אינטגרציה של היחידה במערכת למידה-עמוקה.

קורסי קדם:

  • תכן לוגי
  • אלגברה ליניארית
  • עקרונות תכנון דיגיטליים

מקורות:

  1. Neural Network Compression Techniques For ML Developers https://analyticsindiamag.com/8-neural-network-compression-techniques-for-machine-learning-developers/
  2. State of the Art in Compressing Deep Convolutional Neural Networks https://medium.com/@nicolas_19145/state-of-the-art-in-compressing-deep-convolutional-neural-networks-cfd8c5404f22

236 Design of an intelligent low power image sensor

תכנון גלאי חכם בהספק נמוך

שם המנחה: יונתן שושן
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

ישנה דרישה הולכת וגוברת לגלאים בעלי יכולות עיבוד תמונה לצרכים מגוונים בנקודות קצה. למשל ליישומי חלל, ערים חכמות, תעשייה ועוד. ע"מ להגיע לביצועים הנדרשים תוך עמידה במגבלות נוקשות של מחיר וצריכת הספק יש לייעל את כל חלקי השרשרת. אחת הדרכים לקדם מטרה זו היא תכנון גלאים אשר צורכים הספק נמוך מאד ואשר הינם בעלי יכולת חישובית מובנית.

מטרת הפרויקט:

תכנון גלאי בעל יכולות קצירת אנרגיה, צריכת הספק נמוכה ויכולות חישוב התומכות בראיית מכונה ורשתות נוירונים.

תכולת הפרויקט:

תכנון רכיבי הגלאי תוך שימוש בTCAD. תכנון מעגלים תומכים וסימולציות בSPICE וMatlab. מימוש הרכיב ומדידה שלו.

קורסי קדם:

  • מבוא להתקני מוליכים למחצה
  • מעגלים משולבים

מקורות:

  1. Assaf, M. “Weight Based Current Assisted Photonic Demodulator - Expansion Towards Time of Flight Applications”, ISCAS 2020
  2. Yadid-Pecht, Orly, Etienne-Cummings, Ralph, CMOS Imagers, Springer, 2004

237 Automation of performance analysis using signal processing methods

אוטומציה של אנליזת ביצועים תוך שימוש בשיטות עיבוד אות

שם המנחה: דר' אדם תימן, גב' אודם הראל
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

State of the art networking ICs often employ a packet-processing system with multiple ethernet interfaces. One of the characteristics of such a system is the packet processing rate (Bandwidth). The packet processing is a complex process and the multi-interface nature of the system makes the performance analysis important to optimize the handling process.

This project will include the development of an algorithm that will analyze the data across the multiple interfaces and produce results that can be used for system optimization. The algorithm will utilize different methods of signal analysis (signal filtering, signal correlation, steady state, noise handling) to process the data and extract the relevant results.
Based on the extracted results, the data can be analyzed more accurately and efficiently in order to optimize the system design.

מטרת הפרויקט:

Develop a performance analysis algorithm for a packet processing system that employs signal processing elements to extract relevant and accurate results.

תכולת הפרויקט:

בפרוייקט הזה, הסטודנטים יבצעו את המטלות הבאות:

  • לימוד והבנת מבנה הפקטות ואת התנהגות מערכת שמתחברת לממשקים מרובים
  • הבנת הפרמטרים להערכת ביצועי המערכת ואופן איסופם והערכתם כיום
  • פיתוח אלגוריתם לאנליזה יעילה של המערכת בהתבסס על עקרונות הידועים מתחום עיבוד האות
  • מימוש האלגוריתם בשפת תכנון גבוהה (כדוגמת פייתון)
  • הפעלת האלגוריתם על benchmarks והשוואה לקיים

קורסי קדם:

  • מעגלי ומערכות VLSI דיגיטליים
  • מבוא לעיבוד אותות
  • תכן לוגי

דרישות נוספות:

  • רשתות מחשבים

מקורות:

  1. Barbette, Tom, Cyril Soldani, and Laurent Mathy. "Fast userspace packet processing." 2015 ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS). IEEE, 2015.
  2. Fiessler, Andreas, et al. "Hypafilter+: Enhanced hybrid packet filtering using hardware assisted classification and header space analysis." IEEE/ACM Transactions on Networking 25.6 (2017): 3655-3669.
  3. Sharma, Naveen Kr, et al. "Evaluating the power of flexible packet processing for network resource allocation." 14th {USENIX} Symposium on Networked Systems Design and Implementation ({NSDI} 17). 2017.
  4. Srinivasan, Deepa, and Wu-chang Feng. "Performance analysis of multi-dimensional packet classification on programmable network processors." 29th Annual IEEE International Conference on Local Computer Networks. IEEE, 2004.
  5. Dharmapurikar, Sarang, et al. "Deep packet inspection using parallel bloom filters." 11th Symposium on High Performance Interconnects, 2003. Proceedings.. IEEE, 2003.