פרויקטי גמר - הנדסת חשמל - המעבדה לננו-אלקטרוניקה תשפ"ב

201 Physical analysis of terahertz quantum cascade laser structures

אנליזה פיזיקאלית של מבני לייזרי קסקדה קוונטים לתחום הטרה הרץ

שם המנחה: אסף אלבו
אחראי/ת אקדמי/ת:
דר' אסף אלבו

הרקע לפרויקט:

לייזרי קסקדה קוונטיים מבוססי גליום ארסנייד הינם המקור היעיל ביותר לקרינת טרה-הרץ. עם זאת, טמפרטורת העבודה של לייזרים אלה מוגבלת ואינה עולה על 200 קלווין. בשל כך כדיי להפעיל את לייזרי הקסקדה הקוונטיים לתחום הטרהרץ נדרש לקרר אותם לטמפרטורות נמוכות סביב 150 קלווין. כדיי לקרר יש צורך לצמד את הלייזרים למקרר המקשה על אינטגרציה של מקורות הקרינה אלה במערכות אלקטרואופטיות. הפרויקט יעסוק בחקר הביצועים של מבני לייזרי קסקדה קוונטיים לתחום הטרהרץ במטרה להבין את מנגנוני הפעולה שלהם ולאפשר מבנים איתם יהיה אפשר לעבוד בטמפרטורת החדר.

מטרת הפרויקט:

במהלך הפרויקט הסטודנטים יחקרו את ביצועיהם של מבנים שונים של לייזרי קסקדה קוונטים לתחום הטרה-הרץ בעזרת תוכנה ייעודית (נקסט-ננו). לאחר השוואה של הפרמטרים הפיזיקאליים של מספר מבנים שונים, הסטודנטים ימליצו על מבנים חדישים הצפויים לתת ביצועי טמפרטורה משופרים.

תכולת הפרויקט:

בעזרת תוכנה ייעודית (נקסט-ננו) הסטודנטים יבצעו סימולציה של מספר מבנים של לייזרי קסקדה קוונטיים לתחום הטרה-הרץ וייחלצו מהסימולציה של המבנים פרמטרים כמו עקומות זרם-מתח וספקטרום של ההגבר של הלייזר במתחים וטמפרטורות שונות.

קורסי קדם:

83-244 יסודות התקני מוליכים למחיצה

דרישות נוספות:

הכירות עם תוכנת מטלאב. כישורי מחשב וסימולציה.

מקורות:

https://www.nextnano.com/customer/tutorials.php

202 Analysis of nitride-based terahertz quantum cascade lasers

חקר לייזרי קסקדה קוונטים מבוססי ניטרידים לתחום הטרה הרץ

שם המנחה: אסף אלבו
אחראי/ת אקדמי/ת:
דר' אסף אלבו

הרקע לפרויקט:

טמפרטורת העבודה של לייזרי קסקדה קוונטיים מבוססי גליום ארסנייד מוגבלת ואינה עולה על 200 קלווין. אחד הגורמים מגבילים הינה האנרגיה הנמוכה ליצירת פונונים בחומר זה. בגליום ניטריד לעומת זאת אנרגיה זו גבוהה פי שלוש מזו של גליום ארסנייד. בשל כך נחזה שלייזרי קסקדה קוונטיים מבוססי גליום ניטריד יוכלו לעבוד בטמפרטורת החדר. הפרויקט יעסוק בחקר הביצועים של מבני לייזרי קסקדה קוונטיים מבוססי גליום ניטריד לתחום הטרהרץ במטרה לאפשר מבנים איתם יהיה אפשר לעבוד בטמפרטורת החדר.

מטרת הפרויקט:

במהלך הפרויקט בעזרת תוכנה ייעודית (נקסט-ננו) הסטודנטים יחקרו את ביצועיהם של מבנים שונים של לייזרי קסקדה קוונטים מבוססי גליום ניטריד לתחום הטרה-הרץ. לאחר השוואה של הפרמטרים הפיזיקאליים של מספר מבנים שונים, הסטודנטים ימליצו על מבנים הצפויים לתת ביצועי טמפרטורה טובים.

תכולת הפרויקט:

בעזרת תוכנה ייעודית (נקסט-ננו) הסטודנטים יבצעו סימולציה של מספר מבנים של לייזרי קסקדה קוונטיים מבוססי גליום ניטריד לתחום הטרה-הרץ וייחלצו מהסימולציה של המבנים פרמטרים כמו עקומות זרם-מתח וספקטרום של ההגבר של הלייזר במתחים וטמפרטורות שונות.

קורסי קדם:

83-244 יסודות התקני מוליכים למחיצה

דרישות נוספות:

הכירות עם תוכנת מטלאב. כישורי מחשב וסימולציה.

מקורות:

https://www.nextnano.com/customer/tutorials.php

203 Design of advanced DRAM architecture for low power applications

תכנון ארכיטקטורות של זכרונות דינאמיים מתקדמים לפתרונות דלי הספק

שם המנחה: אודם הראל
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

כיום רוב השטח של הצ'יפים אותם אנו רואים בכל מכשיר אלקטרוני יום-יומי מנוצל על ידי הזכרונות, לכן יש להם חשיבות רבה וקריטית כאשר מתכננים אותם. לשם כך, מנסים תמיד לפעול להקטנת שטחם, הקטנת צריכת ההספק שלכם ולייעל את הפונקציונליות. פרמטרים רבים נלקחים בחשבון הן ברמת ההתקן הבודד וגם למעלה בהיררכיית מימוש המאקרו של הזכרון.

מטרת הפרויקט:

תכנון ארכיטקטורה של זכרון יחד עם הפריפריות הנלוות אליו תוך כדי התחשבות בפרמטרים שיביאו לצמצום בשטח, הספק ומהירות רבה של הבלוק. ניצור ברמת ההתקן את הזכרון הדיגיטלי יחד עם הפריפריות השונות שנצטרך על מנת להפעיל את הזכרון לקריאה וכתיבה נכונה. נלמד על עקרונות תכנון בעולם ה VLSI, אשר בצמיחה אדירה בימנו.

תכולת הפרויקט:

בפרוייקט זה, הסטודנטים יעבדו עם מערכת virtuoso בה הם יבנו את המעגל ברמה הלוגית לסימולציות על המערך ולאחר מכן יצירת לייאוט לארכיטקטורה. תחילה, ידרשו לתכנן איך יעבוד הזכרון ואילו פריפריות יידרשו על מנת ליצור בלוק יעיל ומשופר שיפיעלו את הזכרון.

קורסי קדם:

מעגלים משלובים או/ו מעגלים ספרתיים (יחד עם המעבדה)

דרישות נוספות:

ידע ב  virtuoso

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip / Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish. Cham, Switzerland: Springer, 2018

204 Analog to Digital Converter based the SAR (Successive Approximation Register) Algorithm

ממיר אנלוג לדיגיטל מבוסס על מדולטור של  SAR

שם המנחה: David Zaguri
אחראי/ת אקדמי/ת: 
פרופ' יוסי שור

הרקע לפרויקט:

Analog to digital converters are used to transfer real-world information, which is analog, to the digital domain for further signal processing. This is an extremely important function which is prevalent in all computer systems. There is a constant battle to improve performance, lower power, increase bandwidth and other performance parameters.

מטרת הפרויקט:

In this project you will design a highly compact analog to digital converter (ADC) using the SAR (Successive Approximation Register). The SAR topology is one of the most attractive for low power and compact ADC applications. It is also a relatively simple architecture conceptually and lends itself to many types of optimizations to improve accuracy, reduce area and enable low power operation. You will need to learn the theory and then implement the circuit in 65nm CMOS. The SAR ADC includes both analog and digital blocks, which will allow you to develop skills in both.

תכולת הפרויקט:

In this project the student will design a SAR ADC using digital and analog techniques. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance.

קורסי קדם:

  • 7683303  אלקטרוניקה לינארית - חובה
  • 7683325  מעבדה למעגלים אנלוגיים – חובה
  • 83308 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315  מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – מומלץ

מקורות:

  1.  Analog Integrated Circuit Design. / Tony Chan Carusone, David A. Johns, Kenneth W. Martin. Hoboken, N.J: Wiley, 2013. Chapters 16 and 17
  2. A compact 10-b SAR ADC with unit-length capacitors and a passive FIR filter” P Harpe IEEE Journal of Solid-State Circuits 54 (3), 636-645

205 Ring Oscillator Based Amplifier for Sensor Applications

מגבר המבוסס על מתנד-טבעת עבור יישומי חיישנים

שם המנחה Daniel Dahan
אחראי/ת אקדמי/ת:
 פרופ' יוסי שור

הרקע לפרויקט:

Analog Amplifiers are complex circuits which require a lot of hand-tuning and are not scalable. Recently, a new type of amplifier has emerged which is much more “digital”. This is called a “Ring Ampifier” which essentially uses a 3 stage ring oscillator as an amplifier. It can be much more compact and simpler than a corresponding analog circuit. In principle, the basic elements of the amplifiers are CMOS inverters, not current sources or analog drivers. This amplifier can be much faster and lower power than conventional analog amplifiers.

מטרת הפרויקט:

In this project you will design a ring amplifier and use it in an application such as analog-to-digital conversion or voltage regulation. These are generally application which are left to analog circuits, so this amplifier will make these circuits more “digital”. The ring amplifier will be utilized in one of these applications.

תכולת הפרויקט:

You will need to learn the theory and then implement the circuit in 65nm CMOS. An application will be chosen for the ring amplifier circuit. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance. Since there are several applications here, there may be more than one project available.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – חובה

מקורות:

  1. B. Hershberg, “Ring Amplifiers for Switched Capacitor Circuits”, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 47, NO. 12, DECEMBER 2012, pp. 2928.
  2. Jun-Eun Park, et. al. “A 0.4-to-1.2V 0.0057mm2 55fs-Transient-FoM Ring-Amplifier-Based Low-Dropout Regulator with Replica-Based PSR Enhancement” ISSCC 2020 Digest of Technical Papers, pp. 492-3.

206 Voltage Droop Detector Circuit for protection against current surges in Microprocessors.

תכנון גלאי נפילות מתח מהיר וחסכוני לשם הגנה מפני נחשולי זרם במיקרו-מעבדים מתקדמים

שם המנחה: Yizhak Shifman and Elisheva Berkowitz
אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

The operating current in microprocessors can vary over a very wide range (100uA up to 10’s of Amps). When there is a sudden current surge, the voltage supply, which is inductive in its nature, can have a temporary reduction in its supply level – an event which is referred to as a voltage droops. The droops can be as large as 100’s of mV, and can cause the processor to fail in its computations. In order to mitigate this problem, sensors are required which can monitor the voltage supply and quickly indicate that a droop event is in progress. After getting an indication from this sensor, the Microprocessor can take actions which prevent errors from occurring.

מטרת הפרויקט:

In this project, you will design a Droop Detector (DD) circuit which indicates that a droop event is in progress. The DD has to have a very high bandwidth (~ GHz), but nevertheless consume very low power (10’s of uA). A modified CMOS inverter, used as an analog amplifier, will be utilized here. Several digital and analog modifications of the amplifier will be required in order to optimize it for this application.

תכולת הפרויקט:

You will need to learn the theory and then implement the circuit in 65nm CMOS. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance. In this project, we plan a tapeout as well, and post-Silicon measurements. The successful conclusion of this project may lead to an academic publication and may extend into a Master's thesis.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – מומלץ

מקורות:

  1. S. Bang et al., "An All-Digital, VMAX -Compliant, Stable, and Scalable Distributed Charge Injection Scheme in 10-nm CMOS for Fast and Local Mitigation of Voltage Droop," in IEEE Journal of Solid State Circuits, vol. 55, no. 7, pp. 1898-1908, July 2020.
  2. C. Vezyrtzis et al., "Droop mitigation using critical-path sensors and an on-chip distributed power supply estimation engine in the z14™ enterprise processor," in ISSCC 2018, pp. 300-302.
  3. P. N. Whatmough, S. Das, Z. Hadjilambrou and D. M. Bull, "Power Integrity Analysis of a 28 nm Dual-Core ARM Cortex-A57 Cluster Using an All-Digital Power Delivery Monitor," IEEE Journal of Solid State Circuits vol. 52, no. 6, pp. 1643-1654, June 2017.

207 Mixed-analog /digital Capacitive readout circuit for mechanical sensors

מעגל קריאה קיבולי אנלוגי / דיגיטלי עבור חיישנים מכניים

שם המנחה: Asaf Feldman
אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

There are many types of sensors which change the value of a capacitor upon sensing a physical parameter, like pressure, fluid level, mechanical stress and other. This change in capacitance must be detected by a readout circuit, which can be either an analog circuit (analog to digital converter) or a digital circuit in some cases (like a frequency based converter).

מטרת הפרויקט:

In this project an analog/digital capacitance-to-digital readout circuit will be designed for mechanical sensors. These sensors are useful to measure a physical/mechanical parameter, such as pressure/vibration/acceleration or ultrasound. The sensing capacitor is sensitive to the physical parameter and its capacitance changes linearly during the sensing. The interface circuit is based on two ring oscillators, which drive programmable capacitors. One of the capacitors is the sensing capacitor, while the second capacitor is a known reference capacitor. Each ring oscillator will generate a frequency, which is proportional to the capacitor which it drives. Several algorithms will be used to cancel the offset between the two ring oscillators. The result will be a digital word which is linearly proportional to the sensed parameter. It will be attempted to develop a novel circuit which is competitive with state-of-the-art sensors reported in the literature

תכולת הפרויקט:

Students involved will survey the prior-art sensors and understand their performance level. After this, a ring oscillator sensor will be designed and simulated using virtuoso tools. The sensor will be compared to the state-of-the-art. An outstanding project may even be able to tape-out the sensor to achieve measured results. This project may lead to a journal publication and can be extended to a Master’s thesis.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – מומלץ

מקורות:

  1. O. Aiello, P. Crovetti and M. Alioto, "5.2 Capacitance-to-Digital Converter for Operation Under Uncertain Harvested Voltage down to 0.3V with No Trimming, Reference and Voltage Regulation," 2021 IEEE International Solid- State Circuits Conference (ISSCC), 2021, pp. 74-76, doi: 10.1109/ISSCC42613.2021.9365846.

208 Chip Identification Circuit (Fingerprint) using Physical Unclonable Functions (PUF)

מעגל זיהוי אנלוגי המבוסס על PUF

שם המנחה: Yizhak Shifman
אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

In the modern era, there is a huge amount of secured data transfer involving credit cards, autonomous vehicles, IOT, etc. It is essential for data centers to be able to identify users accurately and securely. A new category of circuit called Physical Uncloneable Functions (PUF) has been utilized to provide secret encryption keys and authentication. The PUFs use random transistor mismatch to generate digital codes, which are unknown even to the device manufacturer. The problem with PUF are that they also have many flaky bits which can change due to noise effects, requiring the use of complex error correction algorithms.

מטרת הפרויקט:

In this project, several novel techniques will be utilized to improve the reliability and robustness of the basic PUF cell. These techniques involve a combination of analog, digital and device physics concepts. During the course of this work, you will design a novel PUF array as well as its readout circuitry, all of which will be implemented in a Si IC. This is an original idea whose successful implementation can result in an academic publication.

תכולת הפרויקט:

In this project the student will design a PUF using digital and analog techniques. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance. This project will include a tapeout and Silicon measurements. The successful conclusion of this project may lead to an academic publication. Since there are several topologies here, there can be more than one project available.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – מומלץ

מקורות:

  1. G. Schrijen, “Scalable Security for IoT” in ISSE 2015, Berlin, Germany.
  2. C. Herder, Y. Meng-Day, F. Koushanfar, S. Devadas, "Physical Unclonable Functions and Applications: A Tutorial", Proceedings of the IEEE, vol. 102, no. 8, pp. 1126 – 1141, (2014

209 Advanced peripheral circuits and techniques for GC-eDRAM

תכנון מנגנוני פריפריה מתקדמים לזיכרונות דינאמיים

שם המנחה: רומן גולמן
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a dynamic storage technology that presents an alternative to standard SRAM for various applications. In this project, novel circuit techniques will be developed for GC-eDRAM based memories to improve performance, power, and area (PPA) costs.

מטרת הפרויקט:

The project outcome is a novel technique for improving the GC-eDRAM technology

תכולת הפרויקט:

This work will include the investigation of sophisticated refresh schemes, advanced write-back techniques, and others. This research project will include Virtuoso based simulation in advanced CMOS nodes

קורסי קדם:

מעגלים משולבים ספרתיים 83-313

דרישות נוספות:

The project will include Virtuoso simulations and possibly layout, digital (Verilog) design and other chip design skills.

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5.

210 Memory circuit design for quantum chip applications

תכנון מעגלי זכרונות לצ'יפים התומכים באפליקציות קוונטיות

שם המנחה: אודם הראל
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

מחשבים קוונטים הם נושא מאוד עכשווי וישנם הרבה מאמצים מחברות בתעשיית הצ'יפים ליצור מחשבים קוונטים. לצורך מערכת מחשוב קוונטי נדרשים מעגלים אלקטרוניים תומכים רבים הדומים באופיים למערכות תקשורת. מערכות אלו כוללות, בין היתר מערכי זכרונות שהם חלק חשוב ועיקרי בSOC

מטרת הפרויקט:

נרצה לאפיין זכרונות שיכולות לשמש אותנו במערכות תמיכה במחשבים קוונטים. במהלך העבודה נלמד על ארכיטקטורה של זכרונות ותכנונם ברמת הcircuit ונתאים אותם גם לסביבה של מחשב קוונטי. המטרה היא להגיע לארכיטקטורה מוכנה של זכרון שעובד על פי סימולציות, תוך כדי מענה על צרכים ספציפיים לאפלקציה קוונטית.

תכולת הפרויקט:

בפרוייקט זה, הסטודנטים יעבדו עם מערכת virtuoso בה הם יבנו את המעגל ברמה הלוגית לסימולציות על המערך. מהסימולציות נוכל ללמוד איך לשפר את התכנון ולחשוב על פתרונות ברמת הפריפריות לשיפור הארכיטקטורה.

קורסי קדם:

מעגלים משלובים או/ו מעגלים ספרתיים (יחד עם המעבדה)

דרישות נוספות:

ידע ב virtuoso

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish. Berlin, Germany: Springer, 2018.

211 Improving data integrity in embedded memories by applying algorithmic/statistical methods

שיפור שימור מידע בזכרונות מוטמעים בשילוב שיטות אלגוריתמיות/סטטיסטיות

שם המנחה: רומן גולמן
אחראי/ת אקדמי/ת: רופ' אדם תימן

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications. One of the drawbacks of this technology is the limited data retention time (DRT) due to parasitic leakage currents.

מטרת הפרויקט:

In this project, the students will model the probability of cell failures and explore the possibilities of improving the DRT through algorithmic approaches mixed with circuit design techniques.

תכולת הפרויקט:

The project is a research project with both theoretical and implementation components, intended for both Electrical and Computer Engineering students.

קורסי קדם:

מעגלים משולבים ספרתיים 83-313

דרישות נוספות:

The work will Verilog/Virtuoso components and probably also include Matlab

מקורות:

1) P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
2) Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5

212 Using hardware tools to analyze systems and biological circuits

שימוש בכלי חומרה לניתוח מערכות ומעגלים ביולוגיים

שם המנחה: חן צרור-אזנקוט ונועה עדרי פריימן
אחראי/ת אקדמי/ת:
פרופ' אלכס פיש, פרופ' רחלה פופובצר

הרקע לפרויקט:

חישוביות ומימוש שערים לוגיים על ידי שימוש ברכיבים ביולוגיים הינו תחום אשר צובר תאוצה בשנים האחרונות. בטכנולוגיה הקיימת כיום, אנו משתמשים ביכולות המופלאות של רצפי דנ"א על מנת שאלו יאפשרו מימוש של שערים לוגיים בטכנולוגיה המכונה DNA strand displacement. בפרויקט זה, נשתמש בכלים ומתודולוגיות מעולם המעלים האלקטרוניים כדי לשפר את תפקוד השערים הביולוגיים הללו.

מטרת הפרויקט:

מטרת הפרוייקט להשתמש בכלים מתחום תכנון, סימולציה ואנליזת חומרה לתכנון וניתוח מערכות ומעגלים ביולוגיים

תכולת הפרויקט:

  • סקר ספרות על המערכת או המעגל הביולוגי שייבחן.
  • העמקת הידע בכלים הקיימים לפיתוח חומרה
  • אפיון וניתוח המעגל הביולוגי בעזרת כלי החומרה

קורסי קדם:

  • מעבדה מתקדמת למעגלים ספרתיים
  • מעבדה למעגלים משולבים

דרישות נוספות:

  • 83670 Biological Computation (במקביל לפרויקט)
  • רקע כללי בביולוגיה

מקורות:

ינתנו בהמשך

213 Design and implementation guided architecture for Ternary Content-Addressable Memory

תכנון ויישום ארכיטקטורת זיכרון TCAM מונחה מיקום

שם המנחה: חנן מרינברג
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

זיכרונות הינן אבן הבניין המרכזי בשבבים אלקטרוניים כיום וממומשים במגוון טכנולוגיות עבור צרכים שונים. בפרויקט זה, נתמקד בזיכרונות TCAM הממומשים באמצעות תאים סטנדרטיים.

מטרת הפרויקט:

בפרויקט הזה נתעסק בלתכנן וליישם בלוק שבמהותו הוא זיכרון, אבל מתוחכם יותר מ RAM סטנדרטי וכולל גם מעגלים דיגיטליים חישוביים.

תכולת הפרויקט:

בפרוייקט תצטרכו ללמוד היטב את מבנה היחידה, לעבוד על האלגוריתמים לפיתוח, לפתח ארכיטקטורת חומרה מתאימה, וליישמה. להשתמש בכלי CAD מתקדמים בעזרת כלי חדשני למיקום מונחה שפיתחנו בבר-אילן.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים 83-308.
  • מעגלים משולבים ספרתיים 83-313

מקורות:

https://en.wikipedia.org/wiki/Content-addressable_memory

214 Guided Physical Implementation of Cordic rotation Unit

מימוש פיזי נשלט של יחידת חישוב קואורדינטות מבוססת תאים סטנדרטיים

שם המנחה: חנן מרינברג
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

CORDIC is an iterative algorithm for calculating trig functions including sine, cosine, magnitude and phase. It is particularly suited to hardware implementations because it does not require any multiplies.

מטרת הפרויקט:

בפרוייקט נממש יחידת Cordic יחודית אשר עבורה נפתח אלגוריתמי place and route חדשים אשר ישפרו משמעותית את היחידה בהיבטי מהירות, הספק ושטח אשר לא מושגים בשימוש בכלים האוטומטיים. במטרה להשתלב ביישום פיזי של ארכיטקטורת מעבד ה RISC-V המתפתחת במעבדות ננו בבר אילן.

תכולת הפרויקט:

בפרוייקט תצטרכו ללמוד היטב את מבנה היחידה, לעבוד על האלגוריתמים לפיתוח, לפתח ארכיטקטורת חומרה מתאימה, וליישמה. להשתמש בכלי CAD מתקדמים בעזרת כלי חדשני למיקום מונחה שפיתחנו בבר-אילן.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים 83-308.
  • מעגלים משולבים ספרתיים 83-313

מקורות:

https://en.wikipedia.org/wiki/CORDIC

215 Low power design for quantum computing

תכנון מעגלים דיגיטליים דלי הספק עבור חישוב קוונטי

שם המנחה: ענבל סטנגר ויונתן שושן
אחראי/ת אקדמי/ת:
פרופ' אלכס פיש

הרקע לפרויקט:

DML הינה טכנולוגיה שפותחה בקבוצה שלנו המשלבת בין לוגיקת cmos ללוגיקה דינאמית ומאפשרת שני מצבי עבודה- אחד לחסכון בצריכת הספק והשני למהירות גבוהה.
לצורך חישוב קוונטי נדרשות טמפרטורות נמוכות, בהן יש שינויים בתפקוד וביצועי המעגל.
נרצה לבחון מימוש בסגנון DML בטמפרטורות נמוכות מאוד (קריוגניות) שיתאים לשימוש במעגלים קוונטים.

מטרת הפרויקט:

בניית מעגלים בתכנון לוגי חדשני הנקרא DML - Dual mode logic, החוסך באנרגיה וזמן לצורך שימוש עבור חישוב קוונטי בטמפרטורות נמוכות.

תכולת הפרויקט:

ניתוח יחידות אריתמטיות המרכיבות את מעגל החישוב הקוונטי, מימושן באמצעות לוגיקת DML ובדיקה וניתוח הביצועים בסביבה קריוגנית.

קורסי קדם:

  • מעגלים אלקטרונים ספרתיים
  • מעבדה למעגלים אלקטרונים ספרתיים

מקורות:

DML:
  1. N. Shavit, I. Stanger, R. Taco and A. Fish, "Process Variation-Aware Datapath Employing Dual Mode Logic," 2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Burlingame, CA, USA, 2018, pp. 1-3, doi: 10.1109/S3S.2018.8640133.
  2. I. Levi and A. Fish, "Dual Mode Logic—Design for Energy Efficiency and High Performance," in IEEE Access, vol. 1, pp. 258-265, 2013, doi: 10.1109/ACCESS.2013.2262015.
Cryogenic:
  1. B. Patra et al., "Cryo-CMOS Circuits and Systems for Quantum Computing Applications," in IEEE Journal of Solid-State Circuits, vol. 53, no. 1, pp. 309-321, Jan. 2018, doi: 10.1109/JSSC.2017.2737549.
  2. E. Charbon et al., "Cryo-CMOS for quantum computing," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 13.5.1-13.5.4, doi: 10.1109/IEDM.2016.7838410.
  3. X. Fu, L. Riesebos, L. Lao, C. G. Almudever, F. Sebastiano, R. Versluis, E. Charbon, and K. Bertels. 2016. A heterogeneous quantum computer architecture. In Proceedings of the ACM International Conference on Computing Frontiers (CF ’16). Association for Computing Machinery, New York, NY, USA, 323–330. DOI:https://doi.org/10.1145/2903150.2906827

216 Dual Mode Logic for Low Energy and High Performance

לוגיקת DML לביצועים גבוהים וצריכת אנרגיה נמוכה

שם המנחה: ענבל סטנגר ונתנאל שביט
אחראי/ת אקדמי/ת:
פרופ' אלכס פיש

הרקע לפרויקט:

Dual Mode Logic הינה לוגיקה שפותחה בקבוצה שלנו, המשלבת בין לוגיקת cmos הנפוצה, ובין לוגיקה דינאמית, ברמת השער (nand/nor וכדומה). בלוגיקה זו קיימים שני מצבי פעולה:

  • מצב סטטי- שבו יש שמירת אנרגיה וביצועים נמוכים.
  • מצב דינמי- שבו הביצועים גבוהים אך גם צריכת האנרגיה גבוהה.

שילוב בין המצבים מביא לשיפור הן במהירות הפעולה והן בצריכת ההספק. הלוגיקה הראתה תוצאות טובות מאוד בטכנולוגיות שונות. האתגרים בלוגיקה זו רבים. החל מבחינה של גדלי הטרנזיסטורים בכל שער, דרך חיבור בין שערים שונים, ועד יצירת מודולים גדולים ומורכבים יותר. מתוך כך גם קיימות אינסוף אפשרויות למהנדס בתכנון ב-DML, והיכולות בלוגיקה זו רבות.

מטרת הפרויקט:

בפרויקט זה נבחן את לוגיקת DML בטכנולוגיות חדשות ומגוונות.

תכולת הפרויקט:

בחינת מימוש הלוגיקה בטכנולוגיות מתקדמות, בחינת הארכיטקטורות השונות הנפוצות שבהן מימוש הלוגיקה יהיה יעיל ומימוש DML בארכיטקטורות החדשות.  העבודה הינה באמצעות תוכנת הvirtuoso.

קורסי קדם:

  • קורס מעגלים ספרתיים 83-308
  • מעבדה למעגלים ספרתיים 83-315
  • מעגלים משולבים ספרתיים 83-313

מקורות:

  1.  I. Levi and A. Fish, "Dual Mode Logic—Design for Energy Efficiency and High Performance," in IEEE Access, vol. 1, pp. 258-265, 2013, doi: 0.1109/ACCESS.2013.2262015.
  2.  A. Kaizerman, S. Fisher and A. Fish, "Subthreshold Dual Mode Logic," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 21, no. 5, pp. 979-983, May 2013, doi: 10.1109/TVLSI.2012.2198678.

217 eDRAM circuit design for high performance chip integration

תכנון זכרון דינאמי מוטמע לשילוב בצ'יפים עם מהירות גבוהה

שם המנחה: אודם הראל ורומן גולמן
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

זכרונות הם חלק עיקרי בצ'יפים היום ולכן נדרש תכנון רב בשביל לממש זכרון יעיל ביותר מבחינת שטח, מהירות וצריכת הספק. יש הרבה עבודה היום על למצוא ארכיטקטורה משופרת למאקרו של זכרונות.

מטרת הפרויקט:

המטרה היא לבנות זכרון דינאמי מהיר יותר אשר יהיה מותאם לאפליקציות שונות - כלומר לעבוד בתנאים שונים כגון טמפרטורה. הפרוייקט ננסה לחשוב על זכרון יעיל ולממש אותו לרכיב IP שלם ומוכן, תוך התחשבות בפרמטרים השונים המשפיעים עליו ועל היכולות שלו.

תכולת הפרויקט:

נחקור זכרונות קיימים היום ונתכנן מערך זכרון ייחודי ומותאים לדרישות שלנו. נבצע סימולציות מקיפות הרלוונטיות להבנת ההשפעות על זכרונות בצ'יפים שקיימים היום בשביל לשפר את הביצועים. זה ייעשה במערכת וירטואוזו.

קורסי קדם:

מעגלים משלובים או/ו מעגלים ספרתיים (יחד עם המעבדה)

דרישות נוספות:

ידע ב virtuoso

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5.

218 Cryo-Characterization for Quantum Computing

מידול בטמפרטורות קריוגניות למחשוב קוונטי

שם המנחה: נעם רוקניאן
אחראי/ת אקדמי/ת:
פרופ' אלכס פיש

הרקע לפרויקט:

על מנת לממש מחשוב קוונטי, יש ליצור שכבת לוגיקה שתפעל בטמפרטורות קריוגניות (~4.2°K). נכון להיום אין מידול מתאים עבור רכיבים בטמפרטורות אלו. על מנת לתכנן את הלוגיקה הנ"ל בעזרת EDA, יש למדל את הרכיבים וליצור ספריות מתאימות.

מטרת הפרויקט:

למידה על מחשוב קוונטי, התנאים ליצירת מחשב מסוג זה, למידת תכנון של מעגלי מדידה מתאימים והתאמת המודל למדידות קיימות.

תכולת הפרויקט:

ביצוע סקירת ספרות על מחשוב קוונטי ומדידות בטמפרטורות קריוגניות. לאחר מכן יבוצע תכנון מעגלי מדידה מתאימים, חיזוי תוצאות מתאימות והתאמה של החיזוי למדידות ותוצאות קיימות.

קורסי קדם:

  • מעגלים ספרתיים
  • מעגלים משולבים
  • מבוא למחשוב קוונטי

מקורות:

  1. Cryogenic Characterization of 22-nm FDSOI CMOS Technology for Quantum Computing ICs”, IEEE ELECTRON DEVICE LETTERS, VOL. 40, NO. 1, JANUARY 2019
  2. Characterization and modelling of mismatch in Cryo-CMOS”, P. A. ’t Hart, M. Babaie, E. Charbon, A. Vladimirescu, F. Sebastiano

219 Design an innovative low power FlipFlop in advanced technology

תכנון פליפ-פלופ דל הספק חדשני בטכנולוגיה מתקדמת

שם המנחה: ענבל סטנגר ואודם הראל
אחראי/ת אקדמי/ת:
פרופ' אלכס פיש

הרקע לפרויקט:

צריכת ההספק הינה פרמטר תכנון חשוב ואף קריטי באפליקציות IoT. תכנון מעגלים ספרתיים וזיכרונות אשר עובדים במצב תת-הולכה הינה אחת הדרכים הטובות להשגת צריכת אנרגיה אופטימלית. אך עבודה במתחים כה נמוכים יכולה לפגוע דרמטית בביצועי המעגל.

מטרת הפרויקט:

תכנון FlipFlop חדשני שעובד במתחי אספקה נמוכים במיוחד אך שומר על רמת הביצועים הנדרשת.

תכולת הפרויקט:

הפרויקט יתבצע בשיתוף פעולה הדוק עם חברת סטארטאפ ישראלית בתחום של תכנון מעגלים משולבים. בשלב הראשון יתבצע סקר ספרות העוסק במימושים שונים של FF. בחירת הארכיטקטורה המיטבית. תכנון המעגל לעבודה במצב תת-הולכה. לייאאוט ואנליזת פוסט-לייאאוט.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים
  • מעגלים משולבים ספרתיים

מקורות:

S. Fisher, A. Teman, D. Vaysman, A. Gertsman, O. Yadid-Pecht and A. Fish, "Ultra-low power subthreshold flip-flop design," 2009 IEEE International Symposium on Circuits and Systems, 2009, pp. 1573-1576, doi: 10.1109/ISCAS.2009.5118070.

220 Efficient systolic array for Neural Networks

מימוש מערך סיסטולי יעיל לרשתות ניורונים

שם המנחה: אור מלטבשי
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

רשתות ניורונים מושתות על פעולות רבות של כפל וחיבור. יחידות חישוב מקביליות אלו נקראות מערכים סיסטולים. אופטימיזציה ושיפור של מערכים אלו הינה חשובה לצורך הגדלת התדר, הורדת ההספק והקטנת השטח.

מטרת הפרויקט:

  • לתכנן ולממש יחידת חישוב של מערך סיסטולי בצורה אופטימלית.
  • קביעת ארכיטקטורת יחידת העיבוד הבסיסית.
  • הוספת מעגלים לשיפור המערך הסיסטולי לטובת שיפור הביצועים.

תכולת הפרויקט:

תכנון ומימוש מאקרו של מערך סיסטולי ע״ב תאי ספרייה סטנדרטיים.

קורסי קדם:

מעגלי ומערכות VLSI דיגיטליים

מקורות:

  1. Genc, Hasan, et al. "Gemmini: An agile systolic array generator enabling systematic evaluations of deep-learning architectures." arXiv preprint arXiv:1911.09925 (2019).
  2. Kung, Hsiang Tsung, and Charles E. Leiserson. Systolic Arrays for (VLSI). CARNEGIE-MELLON UNIV PITTSBURGH PA DEPT OF COMPUTER SCIENCE, 1978.

221 Controlled placement of Systolic array

הצבה מבוקרת של מערך סיסטולי

שם המנחה: אור מלטבשי
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

רשתות ניורונים משתמשות במערכים סיסטולים לבצע חישובים. מערכים אלו צורכים הספק רב וכן מהווים חלק דומיננטי מהשטח הנצרך. לצורך ייעול מערכים אלו ניתן למקם אותם ע״ג הצי׳פ בצורה מבוקרת שתקטין משמעותית את צריכת ההספק והשטח.

מטרת הפרויקט:

  • תכנון ומימוש הצבה מבוקרת של מערך סיסטולי ע״ג הצ׳יפ בצורה גנרית לכל גודל של מערך.
  • מימוש המערך הסיסטולי הבסיסי בעזרת כלי פייתון לייצור נטליסט
  • מיקוד במיקום תאי הספרייה הבסיסיים על גבי הצ'יפ בצורה נכונה ויעילה.

תכולת הפרויקט:

תכנון ארכיטקטורה של המערך המבוקר, מימושו והצבתו בצורה מבוקרת על גבי הצ׳יפ

קורסי קדם:

מעגלי ומערכות VLSI דיגיטליים

מקורות:

  1. Genc, Hasan, et al. "Gemmini: An agile systolic array generator enabling systematic evaluations of deep-learning architectures." arXiv preprint arXiv:1911.09925 (2019)
  2. Kung, Hsiang Tsung, and Charles E. Leiserson. Systolic Arrays for (VLSI). CARNEGIE-MELLON UNIV PITTSBURGH PA DEPT OF COMPUTER SCIENCE, 1978.

222 Efficient and custom layout for processing element

מימוש לייאוט מותאם ויעיל ליחידת חישוב

שם המנחה: אור מלטבשי
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

יחידות חישוב (PE) המבצעת חיבור והכפלה הינה אבן בנין יסודית ביחידות חישוביות לרשתות ניורונים. בפרויקט נתכנן ונממש יחידת PE בצורה מותאמת בעזרת לייאוט כך שיהיה אופטימלי ויתאים לחיבורו למערך גדול של יחידות אלו.

מטרת הפרויקט:

  • תכנון ומימוש יחידת חישוב PE אופטימלית בלייאוט וביצוע סימולציות.
  • מימוש לייאוט ייעודי ליחידת החישוב בצורה אופטמלית ומובנית שתאפשר בקלות להגדיל אותה למערך סיסטולי שלם.
  • המימוש יכול לכלול גם יחידת זיכרון עם יכולות חישוב ייעודית למערך סיסטולי.

תכולת הפרויקט:

תכנון ומימוש יחידת חישוב PE אופטימלית בלייאוט וביצוע סימולציות.

קורסי קדם:

מעגלי ומערכות VLSI דיגיטליים

מקורות:

1) https://www.sciencedirect.com/topics/computer-science/processing-elements
2) Genc, Hasan, et al. "Gemmini: An agile systolic array generator enabling systematic evaluations of deep-learning architectures." arXiv preprint arXiv:1911.09925 (2019)

223 Development of hardware side channel analysis countermeasures

פיתוח הגנות בתחום הגנת חומרה כנגד התקפות ערוץ צד

שם המנחה: דוד צוקר זביב
אחראי/ת אקדמי/ת:
פרופ' אלכס פיש

הרקע לפרויקט:

מערכות קריפטוגרפיות הממומשות בחומרה (ASIC, FPGA, CPU) זולגות מידע סודי דרך ערוצי צד, אותו ניתן לחשוף בשיטות התקפה מתקדמות. במסגרת המחקר במעבדות ENICS אנחנו מפתחים שיטות שונות על מנת לממש מערכות קריפטו המוגנות מפני תקיפות מסוג זה.

מטרת הפרויקט:

ביצוע מחקר ופיתוח של שיטות מתקדמות להגנה על מערכות קריפטוגרפיות מפני התקפות ערוצי צד ואשר ממומשות ב־ASIC, FPGA או תוכנה.

תכולת הפרויקט:

הפרויקט יכלול עבודה מגוונת בהתאם ליכולות הסטודנטים, החל מפיתוח ASIC, פיתוח FPGA ואף תוכנה. כחלק מהפרויקט הסטודנטים יעסקו גם בעבודה עם תוצאות מדידה ואף מדידה בפועל, וכן כתיבת סקריפטים ב־Python לניתוח התוצאות.

קורסי קדם:

מעגלים אלקטרוניים ספרתיים.

דרישות נוספות:

במקביל לפרויקט (או לפניו) יש לבצע את הקורס מבוא לחומרה בטוחה – בניות ומתקפות של ד"ר איתמר לוי.

מקורות:

  1. Zooker, D., Elkoni, M., Shalom, O. O., Weizman, Y., Levi, I., Keren, O., & Fish, A. (2020, October). Temporal Power Redistribution as a Countermeasure against Side-Channel Attacks. In 2020 IEEE International Symposium on Circuits and Systems (ISCAS) (pp. 1-5). IEEE.
  2. Zooker, D., Fish, A., Keren, O., & Weizman, Y. (2019, June). Compact Sub-Vt Optical Sensor for the Detection of Fault Injection in Hardware Security Applications. In 2019 10th IFIP International Conference on New Technologies, Mobility and Security (NTMS) (pp. 1-5). IEEE.
  3. Zooker, D., Shalom, O. O., Weizman, Y., Fish, A., & Keren, O. (2020). Toward Secured FPGA: Silicon Proven CLB With Reduced Information Leakage. IEEE Solid-State Circuits Letters, 3, 146-149.
  4. Zooker, D., Avital, M., Weizman, Y., Fish, A., & Keren, O. (2019). Silicon Proven $1.8~\mu\text {m}\times\, 9.2\,\,\mu\text {m} $65-nm Digital Bit Generator for Hardware Security Applications. IEEE Transactions on Circuits and Systems II: Express Briefs, 66(10), 1713-1717.

224 Cryo-Characterization for Quantum Computing

מידול בטמפרטורות קריוגניות למחשוב קוונטי

שם המנחה: נעם רוקניאן
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

על מנת לממש מחשוב קוונטי, יש ליצור שכבת לוגיקה שתפעל בטמפרטורות קריוגניות (~4.2°K). נכון להיום אין מידול מתאים עבור רכיבים בטמפרטורות אלו. על מנת לתכנן את הלוגיקה הנ"ל בעזרת EDA, יש למדל את הרכיבים וליצור ספריות מתאימות.

מטרת הפרויקט:

למידה על מחשוב קוונטי, התנאים ליצירת מחשב מסוג זה, למידת תכנון של מעגלי מדידה מתאימים והתאמת המודל למדידות קיימות.

תכולת הפרויקט:

ביצוע סקירת ספרות על מחשוב קוונטי ומדידות בטמפרטורות קריוגניות. לאחר מכן יבוצע תכנון מעגלי מדידה מתאימים, חיזוי תוצאות מתאימות והתאמה של החיזוי למדידות ותוצאות קיימות.

קורסי קדם:

  • מעגלים ספרתיים
  • מעגלים משולבים
  • מבוא למחשוב קוונטי

מקורות:

  1. Cryogenic Characterization of 22-nm FDSOI CMOS Technology for Quantum Computing ICs”, IEEE ELECTRON DEVICE LETTERS, VOL. 40, NO. 1, JANUARY 2019
  2. P. A. ’T Hart, M. Babaie, E. Charbon, A. Vladimirescu and F. Sebastiano, "Characterization and Modeling of Mismatch in Cryo-CMOS," in IEEE Journal of the Electron Devices Society, vol. 8, pp. 263-273, 2020, doi: 10.1109/JEDS.2020.2976546.

225 Machine-Operated Electron Device

התקני אלקטרוניקה מבוקרי בינה מלאכותית

שם המנחה: יחיאל נגר ועומר נגר
אחראי/ת אקדמי/ת: פרופ' דורון נוה

הרקע לפרויקט:

התקנים אלקטרוניים, כולל סנסורים וגלאים, קולטים מידע רב אשר בדרך כלל איננו נגיש בצורה ישירה מכיוון שאופן הדגימה של רכיבים אלה אינו פורש את מרחב הפרמטרים של הרכיב. בעבודה זו אנו אוספים נתונים ומעבדים אותם לכדי מיצוי הנתונים הנאספים באמצעות שיטות למידת מכונה. לדוגמה, ראו https://www.nature.com/articles/s41566-021-00787-x.pdf

מטרת הפרויקט:

כתיבת קוד למימוש שיטות איסוף נתונים ולעיבוד הנתונים של סנסורים מתקדמים

תכולת הפרויקט:

כתיבת קוד ומימוש אלגוריתמים על מאגרי נתונים

קורסי קדם:

תכנות בפייתון ומבוא ללמידת מכונה

מקורות:

https://www.nature.com/articles/s41566-021-00787-x.pdf

226 Deep Learning HW-SW acceleration system and application interface

אינטגרציה וממשק מערכת משולבת חמרה-תכנה עבור למידת מכונה עמוקה

שם המנחה: אודי קרא
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

למידת מכונה עמוקה באמצעות רשתות נוירונים מלאכותיות הינה כיום טכנולוגיה מובילה המיושמת בתחומים רבים, הדרישות ההולכות וגדלות מביצועי מערכות אלו מציבות אתגרי קצה עבור זמני תגובה אשר אינם ניתנים להשגה בעיבוד תכנה בלבד ללא מאיצי חמרה. גורם מרכזי ביעילות הרשת הינה הקצאה ושילוב אופטימלי וגמיש בין פועולות המממושות בחומרה לאלו המבוצעות בתכנה וכן ממשק המערכת ליישומים שונים. פרויקט זה יתמודד עם אתגרים אלו באמצעות הרחבת תשתית והדגמתו על יישומי AI מאתגרים.

מטרת הפרויקט:

פיתוח ויישום של דור שני של מאיץ רשת-נוירונים משולב-חמרה-תכנה. התוצרים הינם: מחקר מקיף על פתרונות קיימים, הבנת הפתרון הקיים בדור הראשון, הגדרת פתרון מתקדם, מימוש והדגמה שימושית של המאיץ על כרטיס מבוסס FPGA.

תכולת הפרויקט:

השלמת אינטגרצית דור שני של מאיץ רשתות , הדגמתו על גבי תשתית FPGA משובצת מעבד, והוכחת התכנות עבור מימוש SOC (System On Chip), וכן ניתוח יעילות התוצאה.

קורסי קדם:

  • אלגברה ליניארית
  • מעגלי ומערכות VLSI דיגיטליים
  • מעגלים משולבים ספרתיים -מומלץ
  • מעבדה מתקדמת בננו-אלקטרוניקה (מעבדת ה- FPGA) אפשר במהלך השנה
  • עקרונות של תכנון מערכות דיגיטליות (אפשר במהלך השנה)

מקורות:

https://www.eng.biu.ac.il/temanad/hardware-for-deep-learning/

227 Low leakage Dual Mode Logic

הורדת צריכת הספק של לוגיקת DML

שם המנחה: נתנאל שביט
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

לוגיקת DML מציעה שיפור בביצועים מבחינת מהירות וצריכת הספק, לעומת לוגית CMOS הנפוצה.

לוגיקת DML מאפשרת זו באמצעות שני מצבי פעולה – מצב סטטי, שבו צריכת הספק נמוכה אך מהירות נמוכה, ומצב דינמי, שבו מהירות גבוהה אך צריכת הספק גבוהה.

כמו כן, היכולת לעבור בין המצבים תוך כדי פעולה ובמהירות, מביאה יתרונות נוספים.

מטרת הפרויקט:

מטרת הפרויקט היא למצוא טופולוגיה אופטימלית לשערי הDML.

לאחרונה פורסמו מספר הצעות להורדת צריכת ההספק של שער הDML, בדגש על המצב הדינמי.

בפרויקט זה נרצה לבחון מספר רעיונות שהוצעו לייעול השער הבסיסי בלוגיקת DML, ולבדוק את יעילותם.

כמו כן נרצה להציע רעיונות נוספים ולבחון אותם.

תכולת הפרויקט:

במהלך הפרויקט נממש טופולוגיות שונות עבור שערי DML. נבנה להן תוכנית בדיקה, ונבצע סימולציות עבור מהירות, צריכת הספק ואנרגיה. נערוך השוואה בין הטופולוגיות השונות.

קורסי קדם:

  • 83-308 – מעגלים אלקטרונים ספרתיים
  • 83-315 – מעבדה למעגלים אלקטרונים ספרתיים

מקורות:

  1. A. Kaizerman, S. Fisher and A. Fish, "Subthreshold Dual Mode Logic," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 21, no. 5, pp. 979-983, May 2013, doi: 10.1109/TVLSI.2012.2198678.
  2. Yadav N, Pandey N, Nand D.‪ Leakage reduction in dual mode logic through gated leakage transistors.‪ Microprocessors and Microsystems.‪ 2021;84.‪ doi:10.‪1016/j.‪micpro.‪2021.‪104269


 

228 Advanced Hardware Accelerators for Deep Learning systems

מאיצי חמרה מתקדמים ללמידת מכונה עמוקה

שם המנחה: אודי קרא
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

למידת מכונה עמוקה באמצעות רשתות נוירונים מלאכותיות הינה כיום טכנולוגיה מובילה המיושמת בתחומים רבים, הדרישות ההולכות וגדלות מביצועי מערכות אלו מציבות אתגרי קצה עבור זמני תגובה וצריכת הספק לפעולה אשר אינם ניתנים להשגה בעיבוד תכנה בלבד ללא מאיצי חמרה. רשת הנוירונים העמוקה מורכבת משכבות רבות מסוגים שונים, פרויקט זה יתמודד עם אתגרים אלו באמצעות פיתוח דור שני של מאיצי חומרה מתקדמים המאפשרים האצת פעולות מורכבות ויחודיות ליישומי AI שונים ביעילות ובצריכת הספק מינימלית.

מטרת הפרויקט:

התוצר של פרויקט זה הינו מגוון מאיצים מתקדמים אשר ישולבו בדור השני של המערכת , יודגמו בסימולציה ועל גבי תשתית FPGA עבור יישומי AI

תכולת הפרויקט:

פיתוח המאיץ, סינטזה תקינה של המאיץ עבור טכנולוגיה של לפחות 65 ננומטר, בניית ממשק ומעטפת תכנה למאיץ, הדגמה שימושית של המאיץ על כרטיס מבוסס FPGA

קורסי קדם:

  • אלגברה ליניארית
  • מעגלי ומערכות VLSI דיגיטליים
  • מעגלים משולבים ספרתיים -מומלץ
  • מעבדה מתקדמת בננו-אלקטרוניקה (מעבדת ה- FPGA) אפשר במהלך השנה
  • עקרונות של תכנון מערכות דיגיטליות (אפשר במהלך השנה)

מקורות:

https://www.eng.biu.ac.il/temanad/hardware-for-deep-learning/

229 Efficient Memory Management for deep learning acceleration system

ניהול זכרון יעיל במערכת האצת למידת מכונה עמוקה

שם המנחה: אודי קרא
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

מערכות למידה עמוקה מוגבלות במידה רבה ע"י קצב תעבורת נתונים ומשתני מודל החישוב בין המאיץ, היררכית הזכרונות והמעבד, על מנת לייעל תהליך זה נדרש לייעל את תעבורת המידע על ידי ממשקי זכרון מהירים, טכנולוגיות דחיסת מידע וניהול אופטימלי של תעבורת המידע.

מטרת הפרויקט:

פיתוח דור שני של פתרון משולב תכנה-חמרה לניהול תעבורת המידע , שילובו במערכת והדגמתו בסימולציה ובתשתית FPGA

תכולת הפרויקט:

פיתוח ומימוש אלגוריתים יעיל לניהול זכרון, מחקר והבנה של אופן ניהול זכרונות מהירים דוגאמת DDR, מימוש יחידת הניהול ובדיקת ביצועיו עבור יישומי AI שונים.

קורסי קדם:

  • אלגברה ליניארית
  • מעגלי ומערכות VLSI דיגיטליים
  • מעגלים משולבים ספרתיים -מומלץ
  • מעבדה מתקדמת בננו-אלקטרוניקה (מעבדת ה- FPGA) אפשר במהלך השנה
  • עקרונות של תכנון מערכות דיגיטליות (אפשר במהלך השנה)

מקורות:

https://www.eng.biu.ac.il/temanad/hardware-for-deep-learning/

230 Current Sensor Based on Ring Oscillators

חיישן זרם

שם המנחה: Asaf Feldman
אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

Current sensors are utilized to measure power in electronic systems. This sensor will be utilized to measure the power of integrated circuits. It will be non-intrusive, and at the same time provide a high accuracy measurement. One of the main requirements is that it be fast and low power.

מטרת הפרויקט:

The objective will be to design a sensor in Virtuoso and provide simulations which prove its effectiveness.

תכולת הפרויקט:

The tasks will include schematic capture, simulation and validation.

קורסי קדם:

  • אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – מומלץ

דרישות נוספות:

Willingness to work at least 1 day a week during the 4th year.

מקורות:

L. Xu, J. H. Huijsing and K. A. A. Makinwa, "A ±4A high-side current sensor with 25V input CM range and 0.9% gain error from −40°C to 85°C using an analog temperature compensation technique," 2018 IEEE International Solid - State Circuits Conference - (ISSCC), 2018, pp. 324-326, doi: 10.1109/ISSCC.2018.8310315.

231 Multi bit Sigma delta with DEM (ADC)

סיגמא דלתא דיפרנציאלי עם תיאום דינמי ומשוב מרובה ביטים (ממיר מתח אנלוגי לדיגיטלי)

שם המנחה: דניאל דהן
אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

Analog to digital converters are used to transfer real-world information, which is analog, to the digital domain for further signal processing. This is an extremely important function which is prevalent in all computer systems. There is a constant battle to improve performance, lower power, increase bandwidth and other performance parameters.

מטרת הפרויקט:

In this project you will design a highly compact analog to digital converter (ADC) using the Sigma Delta (SD) . SD is considered to be a simpler and accurate topology. dynamic element matching is used in order to reduce process mismatch and improve accuracy.

You will need to learn the theory and then implement the circuit in 65nm CMOS. The SD ADC includes both analog and digital blocks, which will allow you to develop skills in both.

תכולת הפרויקט:

In this project the student will design a SD ADC using digital and analog techniques. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance.

קורסי קדם:

  • 7683303 אלקטרוניקה לינארית - חובה
  • 7683325 מעבדה למעגלים אנלוגיים – חובה
  • 83308 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – מומלץ

דרישות נוספות:

  • מעגלים אנלוגיים דלי הספק

מקורות:

https://www2.eecs.berkeley.edu/Pubs/TechRpts/1993/ERL-93-63.pdf

232 Macro-architecture design for novel memory integration in chip

תכנון של מאקרו-ארכיטקטורה לשילוב זכרון חדשני בצ'יפים

שם המנחה: אודם הראל ורומן גלדמן
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

בעולם הטכנולוגי של היום, בו צ'יפים שולטים בכל אמצעי חישובי, נעשית עבודה רבה על ייעול ושיפור של זכרונות השולטים ברוב השטח וצריכת ההספק של צ'יפים אלו. בפרוייקט זה נעבוד בטכנולוגיות חדישות בהם משתמשים בתעשייה ונתכנון זכרון משופר - קטן יותר, מהיר יותר וצורך פחות הספק, אשר נותן מענה לאפליקציות ספציפיות במערכת.

מטרת הפרויקט:

המטרה היא להגיע לארכיטקטורה של זכרון מוגמר אשר מכיל את הפריפריות הנרדשות לתפעולו ובעל יתרונות רבים על זכרונות בהם משתמשים היום. במהלך העבודה נלמד על מושגים החשובים בתעשייה ועל דרך החשיבה של תכנון מאקרו בגודל זה מכמה כיוונים שונים.

תכולת הפרויקט:

מחקר על פתרונות הקיימים היום ולמידה על סוג הזכרון אתו נעבוד. סימולציות אשר יוכיחו ויעזרו לנו להגיע לפתרון הטוב ביותר. נעבוד עם הכלי וירטואוזו בין היתר.

קורסי קדם:

מעגלים ספרתיים ו/או מעגלים משולבים

דרישות נוספות:

וירטואוזו

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip / Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish. Cham, Switzerland: Springer, 2018

233 Macro-architecture design for novel memory integration in chip

תכנון של מאקרו-ארכיטקטורה לשילוב זכרון חדשני בצ'יפים

שם המנחה: אודם הראל ורומן גלדמן
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

בעולם הטכנולוגי של היום, בו צ'יפים שולטים בכל אמצעי חישובי, נעשית עבודה רבה על ייעול ושיפור של זכרונות השולטים ברוב השטח וצריכת ההספק של צ'יפים אלו. בפרוייקט זה נעבוד בטכנולוגיות חדישות בהם משתמשים בתעשייה ונתכנון זכרון משופר - קטן יותר, מהיר יותר וצורך פחות הספק, אשר נותן מענה לאפליקציות ספציפיות במערכת.

מטרת הפרויקט:

המטרה היא להגיע לארכיטקטורה של זכרון מוגמר אשר מכיל את הפריפריות הנרדשות לתפעולו ובעל יתרונות רבים על זכרונות בהם משתמשים היום. במהלך העבודה נלמד על מושגים החשובים בתעשייה ועל דרך החשיבה של תכנון מאקרו בגודל זה מכמה כיוונים שונים.

תכולת הפרויקט:

מחקר על פתרונות הקיימים היום ולמידה על סוג הזכרון אתו נעבוד. סימולציות אשר יוכיחו ויעזרו לנו להגיע לפתרון הטוב ביותר. נעבוד עם הכלי וירטואוזו בין היתר.

קורסי קדם:

מעגלים ספרתיים ו/או מעגלים משולבים

דרישות נוספות:

וירטואוזו

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip / Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish. Cham, Switzerland: Springer, 2018

234 In-memory computing using the GC-eDRAM

ביצוע פעולות חישוביות בזיכרון דינאמי

שם המנחה: רומן גולמן
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications. One of the drawbacks of this technology is the limited data retention time (DRT) due to parasitic leakage currents.

מטרת הפרויקט:

The unique features of the GC-eDRAM memories, require specialized memory block design, but also an opening for implementing in-memory logical computations with very little overhead. For example readout of an logical "NOR" function result for two adjacent memory rows can be performed in such a memory with very little overhead. In this project we aim to design a GC-eDRAM memory that will allow to perform various logical computation with data stored in the memory.

תכולת הפרויקט:

The students will develop a unique memory design and architecture. They will be required to suggest and implement novel ideas in memory design and run various simulations to prove the suggested memories reliability.

קורסי קדם:

Digital Integrated Circuits (83-313)

דרישות נוספות:

Running Simulations in Virtuoso and layout

מקורות:

  1. Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip / Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish. Cham, Switzerland: Springer, 2018
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5.

235 Replica technique in GC-EDRAM memories

מימוש פריפריית רפליקה במערכי זיכרון דינאמי

שם המנחה: רומן גולמן ואודם הראל
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications. One of the drawbacks of this technology is the limited data retention time (DRT) due to parasitic leakage currents.

מטרת הפרויקט:

The gain-cell embedded DRAM (GC-eDRAM), which can be fabricated in standard digital process technologies, and benefits from low area, low power consumption, and two-ported functionality. However, as a dynamic memory, GC-eDRAM requires periodic refresh operations to ensure robust data retention. These refresh operations often require to put the entire system on hold, blocking the memory while it completes its refresh. The replica technique is a novel approach for monitoring the refresh operations.

תכולת הפרויקט:

Design and implement a replica peripheral that will help in tracking when memory row require refresh. They student will be required to implement novel design blocks and run various simulations to prove the suggested memories reliability.

קורסי קדם:

Digital Integrated Circuits (83-313)

דרישות נוספות:

Running Simulations in Virtuoso and layout.

מקורות:

  1. Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip / Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish. Cham, Switzerland: Springer, 2018
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5.

236 Memory efficient merging of BDDs

מיזוג יעיל של עצי החלטה

שם המנחה: רומן גולמן
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

In computer science, a binary decision diagram (BDD) or branching program is a data structure that is used to represent a Boolean function. On a more abstract level, BDDs can be considered as a compressed representation of sets or relations. Unlike other compressed representations, operations are performed directly on the compressed representation, i.e. without decompression.

BDDs are extensively used in CAD software to synthesize circuits (logic synthesis) and in formal verification. Every arbitrary BDD (even if it is not reduced or ordered) can be directly implemented in hardware by replacing each node with a 2 to 1 multiplexer.

מטרת הפרויקט:

The process of building a BDD tree is by recursively combining two BDD trees of sub-expressions. Typically, the memory required for the sub-trees is substantially larger than the memory required for the combined reduced tree, leading to a situation where a problem that can be solved with the reduced tree, is not solvable because the tree building process memory consumption is too high. In this project we will explore and develop memory efficient methodologies for construction of BDD trees.

תכולת הפרויקט:

The students are expected to learn the CUDD c++ library and expand or replace it to performing memory efficient operations (shift the performance optimizations to memory optimizations) based on the algorithms developed in the course of the project.

קורסי קדם:

  • Digital Integrated Circuits (83-313)
  • Data Structures and Algorithms I (83-119)

דרישות נוספות:

  • programming in c++

מקורות:

https://davidkebo.com/cudd#cudd8

237 Utilization of GC-eDRAM memories on chip

שימוש יעיל בזכרונות דינאמיים על גבי ציפ

שם המנחה: רומן גולמן
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications. One of the drawbacks of this technology is the limited data retention time (DRT) due to parasitic leakage currents.

מטרת הפרויקט:

The recently fabricated LEO-I chip is equipped with on-board GC-eDRAM memory. In this project we want to utilize the special properties of the memory for implementing program level applications, proving the feasibility of using the GC-eDRAM in real world applications, and optimizing the algorithm for such memory usage.

תכולת הפרויקט:

The students will write programs in C language directly interfacing with RISC-V microprocessor and the GC-eDRAM memories. The programs have to be compliant with its unique properties and consider the retention time in the program level.

קורסי קדם:

  • Digital Integrated Circuits (83-313)

דרישות נוספות:

  • Running Verilog simulations

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5.

238 Design of innovative low power logic circuits in advanced technology

תכנון מעגלים דלי הספק חדשניים בטכנולוגיה מתקדמת

שם המנחה: ענבל סטנגר ואודם הראל
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

צריכת ההספק הינה פרמטר תכנון חשוב ואף קריטי באפליקציות IoT. תכנון מעגלים ספרתיים וזיכרונות אשר עובדים במצב תת-הולכה הינה אחת הדרכים הטובות להשגת צריכת אנרגיה אופטימלית. אך עבודה במתחים כה נמוכים יכולה לפגוע דרמטית בביצועי המעגל.

מטרת הפרויקט:

תכנון מעגלים חדשניים שעובדים במתחי אספקה נמוכים במיוחד אך שומרים על רמת הביצועים הנדרשת.

תכולת הפרויקט:

הפרויקט יתבצע בשיתוף פעולה הדוק עם חברת סטארטאפ ישראלית בתחום של תכנון מעגלים משולבים. בשלב הראשון יתבצע סקר ספרות העוסק במימושים שונים של מעגלים שונים. בחירת הארכיטקטורה המיטבית. תכנון המעגל לעבודה במצב תת-הולכה. לייאאוט ואנליזת פוסט-לייאאוט.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים
  • מעגלים משולבים ספרתיים

מקורות:

  1. S. Fisher, A. Teman, D. Vaysman, A. Gertsman, O. Yadid-Pecht and A. Fish, "Ultra-low power subthreshold flip-flop design," 2009 IEEE International Symposium on Circuits and Systems, 2009, pp. 1573-1576, doi: 10.1109/ISCAS.2009.5118070.

239 Design and Simulation of Ionic Circuits

תכנון וסימולציה של מעגלים יוניים

שם המנחה: אלכס פיש
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

רכיבים אשר מבוססים על ננוזרימה שולטים בעזרת אות חשמלי בתנועתם של מולקולות ביולוגיות טעונות. לאחרונה הראו שניתן לממש רכיבים כמו דיודות וטרנזיסטורים למימוש של מעגלים הדומים בהתנהגותם למעגלים אלקטרוניים. יחד עם זאת לא קיימים כלים או מתודולוגיה לתכנון של מעגלים אלו.

מטרת הפרויקט:

לקדם את היכולת לבצע סימולציה חשמלית ברמת המעגל למעגל המבוסס על רכיבים ננופלואידיים

תכולת הפרויקט:

להגדיר מודל מתמטי פשוט לרכיב ננופלואידי בהתאם למדידות הרכיב. להשתמש במודל כדי לבצע תכנון וסימולציה חשמלית של מעגל לוגיים .בחינת תוצאות הסימולציה אל מוד המדידות ועדכון המודל בהתאם.

קורסי קדם:

מעגלים משולבים

מקורות:

Chun, H. and Chung, T.D., 2015. Iontronics. Annual Review of Analytical Chemistry, 8, pp.441-462.

240 Configurable processing element for Systolic array

יחידת עיבוד קונפיגורבילית למערך סיסטולי

שם המנחה: אור מלטבשי
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

מערכים סיסטוליים הינם חלק דומיננטי בביצוע פעולת הקונבולוציה ברשתות ניורונים. מערכים אלו מבצעים את הפעולה באופן מקבילי. על מנת לאפשר למערך להיות גמיש ולהתאים אותו לסוגים וגדלים שונים של רשתות ושכבת שונות באותה הרשת יש צורך להפוך את המערך לקונפיגורבילי. המערך בנוי מיחידות עיבוד שאותן נדרש להתאים ולהפוך לקונפיגורביליות.

מטרת הפרויקט:

תכנון ומימוש ארכיטקטורה של יחידת עיבוד במערך סיסטולי ובניית מערך סיסטולי שלם עם יכולת לקנפג אותו ע"פ הצורך של הרשת.

תכולת הפרויקט:

סקר ספרות על מערכים סיסטוליים ויחידות עיבוד, תכנון ארכיטקטורה מתאימה ליחידת עיבוד קונפיגורבילית, מימוש יחידת העיבוד, מימוש מערך סיסטולי עם יחידה זו והשוואה של המערך למערך לא מתקנפג בהיבטי שטח, זמן והספק.

קורסי קדם:

מעגלי ומערכות VLSI דיגיטליים

דרישות נוספות:

קורס digital VLSI design בסימסטר א'

מקורות:

  1. Genc, Hasan, et al. "Gemmini: An agile systolic array generator enabling systematic evaluations of deep-learning architectures." arXiv preprint arXiv:1911.09925 (2019).
  2. Kung, Hsiang Tsung, and Charles E. Leiserson. Systolic Arrays for (VLSI). CARNEGIE-MELLON UNIV PITTSBURGH PA DEPT OF COMPUTER SCIENCE, 1978.

241 Design Instruction & Data Cache for a 7-Stage RISCV Core

תכנון זכרון מטמון למעבד ריסק 5

שם המנחה: פרופ' אדם תימן
אחראי/ת אקדמי/ת: פרופ' אדם תימן

הרקע לפרויקט:

The idea of the project is to implement the L1 Data & Instruction Cache with 2 cycle latency for instruction fetch & "memory access" LOAD/STORE.
First cycle cache Lookup, second cycle data/instruction read/write.
The purpose of the project is not to be "innovative" - we will try and do it in the most "textbook" way.
From my experience, we will definitely come across problems that will need innovative solutions.

מטרת הפרויקט:

To build a RISC-V pipeline with cache

תכולת הפרויקט:

For this, we will need a 7 Stage RISCV core - for simplicity, we will design our own minimal core.
0 - Instruction Fetch (cache Lookup)
1 - Instruction Fetch (Read Instruction)
2 - Decode
3 - Execute
4 - Memory access (cache Lookup)
5 - Memory access (Read/Write Data)
6 - write back

קורסי קדם:

  • תכן לוגי
  • עקרונות תכנון מערכות דיגיטליות

דרישות נוספות:

  • ורילוג

מקורות:

  1. Patterson, Hennesy: Computer Architecture: A Quantitative Approach 5th Edition

242 Designing an Image-Sensor Array for Hardware-Implemented Neural Network Applications

תכנון מערך גלאים לאפליקציות של רשת נוירונים חומרתית

שם המנחה: יובל ניניו
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

הפרויקט יתבסס על רכיב בשם WBCAPD שתוכנן במסגרת עבודת תזה במסלול ננו-אלקטרוניקה. רכיב זה, שהודגם באופן תיאורטי וסימולטיבי בלבד, הינו רכיב פוטואלקטרי בעל מספר טרמינלים אשר מציג יכולת לחלק את הזרם הפוטואלקטרי שנוצר בו באופן יחסי בין אותם טרמינלים. יחס חלוקת הזרם נקבע על פי ערכי מתח המופעלים על כל אחד מן הטרמינלים ומשמשים כמשקולות לחלוקה.

מטרת הפרויקט:

מטרת הפרויקט היא תכנון מערך גלאים, המבוסס על רכיב ה-WBCAPD, שיאפשר לבצע מדידות אמפיריות על הרכיב ולבדוק את פעולתו במעבדה, במטרה להדגים את הערך היישומי של הרכיב בכלל ובאפליקציות של רשת נוירונים חומרתית בפרט.

תכולת הפרויקט:

במהלך הפרויקט הסטודנטים יתכננו מעגלים חשמליים בשימוש בתוכנת virtuoso, חלקם על מנת לייצר קישוריות ישירה בין רכיבי ה-WBCAPD, וחלקם על מנת לאפשר מדידה ותקשורת עם מערך ה-WBCAPD. תכנון המעגלים יתבצע ברמת המודל (בשימוש ב-schematic), ובנוסף ברמה הפיזית (בשימוש ב-layout).

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים
  • אלקטרוניקה לינארית
  • מעבדה למעגלים אלקטרוניים ספרתיים

דרישות נוספות:

  • יסודות התקני מוליכים למחצה
  • התקני ננו-אלקטרוניקה
  • הבנה בסיסית ברשתות נוירונים

מקורות:

  1. M. Assaf, O. Harel, E. Tadmor, O. Yadid-Pecht and A. Fish, "Weight Based Current Assisted Photonic Demodulator (WBCAPD) — Expansion towards Neuromorphic Applications," 2020 IEEE International Symposium on Circuits and Systems (ISCAS), 2020, pp. 1-5, doi: 10.1109/ISCAS45731.2020.9180804.
  2. M. Assaf, “Weight-Based Current Assisted Photonic Demodulator (WBCAPD)- Expansion Towards Neuromorphic Applications”, Msc. Thesis, Bar-Ilan University, 2020

243 Design and Simulation of Complex logic circuit based on DNA strand displacement

תכנון וסימולציה של מעגלים לוגיים מורכבים מבוססי דנ"א

שם המנחה: נעם רוקניאן
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

ניתן לממש מעגלי חישוב אשר מבוססים על האינטראקציה בין מולקולות DNA . יחד עם זאת תכנון מעגלים מורכבים יותר המבוססים על DNA דורש הבנה מעמיקה בתחום הביולוגיה המולקולרית יחד עם יכולות תכנון וסימולציה מתקדמות . בפרויקט זה אנו מציעים לבחון האם מתודולוגיה מתחום תכנון החומרה, אשר בה יוצרים מידול למעגל חישובי מסוים ע"פ איפיון הביצועים במצבים שונים ומוגדרים מראש, יכול לשמש ולהועיל גם בחישוב מבוסס DNA.

מטרת הפרויקט:

היכרות עם תחום החישוב מבוסס דנ"א. בנייה של מודל למעגל חישוב מבוסס דנ"א שיאפשר בחינה של מעגלי דנ"א מורכבים ברמת אבסטרקציה גבוהה יותר.

תכולת הפרויקט:

ביצוע סקר ספרות על חישוב מבוסס דנ"א. היכרות עם מעגלי seesaw (מצורף מאמר) ועם סימולטור DSD. הבנה של האתגרים בבניית מעגל חישובי מבוסס דנ"א. בניית מודל ראשוני עבור מספר שערים לוגיים בסיסיים וסימולציה בעזרת כלי סימולציה של תכנון מעגלים אלקטרוניים.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים
  • מעגלים משולבים ספרתיים

מקורות:

  1. A simple DNA gate motif for synthesizing large-scale circuits
  2. Scaling Up Digital Circuit Computation with DNA Strand Displacement Cascades

פרויקטים נוספים מומלצים

103 Developing a modular magnetic device for manipulating 3D neural networks

פיתוח מערך מגנטים מודולרי לשליטה ברשתות נוירונים תלת-ממדיות

שם המנחה: רעות פלן
אחראי/ת אקדמי/ת: פרופ' אורית שפי

הרקע לפרויקט:

ליכולת לשלוט בארגון המרחבי של רשתות עצבים יש השלכות חשובות ביותר בתחום ההנדסה הביו-רפואית. בניית רשתות עצביות תלת-ממדיות חשובה להבנה טובה יותר של המוח, לפיתוחם של ממשקים עצביים, לשיקום פגיעות עצביות ולטיפול במחלות נוירודגנרטיביות.

לאחרונה פותחה גישה חדשנית להכוונה מקומית של תאים באמצעות הטענתם בחלקיקים מגנטיים. הפיכתם של התאים ליחידות מגנטיות מאפשרת שליטה מרחוק באמצעות הפעלת גרדיאנטים של שדות מגנטים חיצוניים.

מטרת הפרויקט:

מטרת פרויקט זה היא לבנות רשת עצבית תלת-ממדית באמצעות הכוונת התאים לאזורי מטרה רצויים בתוך ג'ל קולגן רב-שכבתי. לשם כך, נתכנן ונייצר מערך מגנטים ייחודי המבוסס על מודלים של שדות מגנטיים אשר יוביל ליצירת מוקדים מגנטיים. בעזרת השדה המגנטי נמקם בתוך הג'ל תאים דמויי עצבים טעונים בננו-חלקיקים מגנטיים, נעודד את התמיינותם וכך ניצור רשתות עצביות באופן מבוקר.

תכולת הפרויקט:

  • לימוד תאורטי וקריאה בספרות של מחקרים רלבנטיים
  • תכנון ויצור מערכי מגנטיים
  • סימולציה ומידול של שדות מגנטיים
  • טיפול בתאים על גבי המערכים השונים ומעקב אחר התארגנות התאים כולל ניתוח כמותי של המבנה של התאים מתמונות שנרכשו במיקרוסקופ.

קורסי קדם:

שדות

דרישות נוספות:

ידע בתוכנות COMSOL או OOMMF – יתרון

מקורות:

  1. Marcus, M., Karni, M., Baranes, K. et al. Iron oxide nanoparticles for neuronal cell applications: uptake study and magnetic manipulationsJ Nanobiotechnol 14, 37 (2016). https://doi.org/10.1186/s12951-016-0190-0
  2. Marcus M, Smith A, Maswadeh A, et al. Magnetic Targeting of Growth Factors Using Iron Oxide NanoparticlesNanomaterials (Basel). 2018;8(9):707. Published 2018 Sep 10. doi:10.3390/nano8090707

104 Quorum Sensing Biochip for Microbial Diagnosis and Therapy

פיתוח צ'יפ ביולוגי לאבחון וטיפול באוכלוסיות חיידקים בגוף האדם

שם המנחה: עדי ענאקי
אחראי/ת אקדמי/ת: פרופ' רחלה פופובצר

הרקע לפרויקט:

תחום הביו-סנסורים נמצא בחזית המחקר בשנים האחרונות.

פרויקט זה הינו חלק מפרויקט משותף למסלולי הביו-הנדסה והננו-אלקטרוניקה שבמרכזו פיתוח ביו-סנסור כפתרון טכנולוגי חדשני לטיפול בזיהומים חיידקיים.
כ-10 מיליון אנשים ברחבי העולם מתים מידי שנה כתוצאה מעמידות חיידקים לאנטיביוטיקה. עמידות זו יוצרת מצב בו תרופות שהיו בעבר יעילות למחלות חיידקיות נעשות חסרות תועלת.בשל כך נדרש פתרון טכנולוגי חדשני לטיפול בזיהומים.

בטכנולוגיה המוצעת בפרויקט נעשה שימוש ביכולת של החיידקים לתקשר בינם לבין עצמם באמצעות מולקולות תקשורת האופייניות רק להם (Quorum Sensing), ובכך לקרוא לחיידקים להצטבר במקום מסוים ולחולל מחלה.

מטרת השבב היא לחוש את מולקולות התקשורת המציינות הצטברות חיידקים, ולשבש תקשורת זו כך שהחיידקים לא יתקבצו במקום ובכך למנוע זיהום.

מטרת הפרויקט:

בניית מדגים לביו-סנסור שיוכל לשדר ולהעביר נתונים בזמן אמת על פעילות חשמלית המתרחשת בקרבת סנסור הנמצא בתוך הגוף ובכך להתריע על הצטברות חיידקים באזור, להפריע לתקשורת ביניהם ולמנוע מחלה.

תכולת הפרויקט:

  • סקר ספרות על מערכות ה Quorum-SensingוהQuorum-Quenching בחיידקים.
  • ביצוע מדידות במערכת תאים אלקטרוכימיים לזיהוי אותות תקשורת בין חיידקים Quorum-Sensing, ותרגומם לסיגנל חשמלי.
  • אימות ואפיון הסיגנל החשמלי המתקבל.
  • אנליזה של נתוני המדידות והגדרת סיגנל קריטי בו דרוש שחרור פעילות אנזימטית לטיפול באוכלוסיית החיידקים.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים
  • ביו-סנסורים

מקורות:

יתווספו בהמשך

105 Bio-sensor development for detection and diagnosis of bacterial infection in the urinary tract

פיתוח ביו-סנסור לזיהוי ואבחון זיהום חיידקי בדרכי השתן

שם המנחה: חן צרור-אזנקוט
אחראי/ת אקדמי/ת: פרופ' רחלה פופובצר

הרקע לפרויקט:

תחום הביו-סנסורים נמצא בחזית המחקר בשנים האחרונות.
פרויקט זה הינו חלק מפרויקט משותף למסלולי הביו-הנדסה והננו-אלקטרוניקה שבמרכזו פיתוח ביו-סנסור כפתרון טכנולוגי חדשני לטיפול בזיהומים חיידקיים.

זיהום בדרכי השתן מתפתח כאשר חלק מדרכי השתן מזדהמים, בדרך כלל עקב זיהום חיידקי. נכון להיום, אבחון של דלקת זו מתבצע ע"י תרבית שתן בה ניתן לזהות את כמות החיידקים המעורבים ואת סוגיהם. תוצאה ניתנת ביום למחרת, ורק אז ניתן להחליט על סוג הטיפול המתאים.
על מנת לקצר את זמן זיהוי החיידק ולאפשר קבלת אנטיביוטיקה ברגע לקיחת דגימת השתן, אנו מציעים פתרון המשתמש ביכולת של החיידקים לתקשר בינם לבין עצמם באמצעות מולקולות תקשורת האופייניות רק להם (Quorum Sensing). מטרת הסנסור היא לחוש את מולקולות התקשורת בין החיידקים ולאבחן באיזה חיידק מדובר בעת מתן דגימת השתן, ללא צורך בביצוע תרבית.

מטרת הפרויקט:

בניית מדגים לביו-סנסור שיוכל לזהות נוכחות של חיידקים שונים בשתן ולאפיין את סוג הזיהום בזמן אמת, ללא צורך בתרבית שתן.

תכולת הפרויקט:

  1. סקר ספרות על מערכות ה Quorum-Sensing והQuorum-Quenching בחיידקים.
  2. ביצוע מדידות במערכת תאים אלקטרוכימיים לזיהוי אותות תקשורת בין חיידקים Quorum-Sensing, ותרגומם לסיגנל חשמלי.
  3. אימות ואפיון הסיגנל החשמלי המתקבל.
  4. בניית מדגים למערכת.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים
  • ביו-סנסורים

מקורות:

יוספו בהמשך

108 Developing magnetic devices for manipulating neural network formation in 2D and 3D platforms

פיתוח מערכים מגנטים לשליטה ביצירת רשתות נוירונים דו-ממדיות ותלת-ממדיות

שם המנחה: רעות פלן
אחראי/ת אקדמי/ת: פרופ' אורית שפי

הרקע לפרויקט:

ליכולת לשלוט בארגון המרחבי של רשתות עצבים יש השלכות חשובות ביותר בתחום ההנדסה הביו-רפואית. בניית רשתות עצביות חשובה להבנה טובה יותר של המוח, לפיתוחם של ממשקים עצביים, לשיקום פגיעות עצביות ולטיפול במחלות נוירודגנרטיביות.

לאחרונה פותחה גישה חדשנית להכוונה מקומית של תאים באמצעות הטענתם בחלקיקים מגנטיים. הפיכתם של התאים ליחידות מגנטיות מאפשרת שליטה מרחוק באמצעות הפעלת גרדיאנטים של שדות מגנטים חיצוניים.

מטרת הפרויקט:

מטרת פרויקט זה היא להראות היתכנות לבנות רשתות עצביות דו-ממדיות ותלת-ממדיות באמצעות הכוונת חלקיקים ותאים לאזורי מטרה רצויים. לשם כך, נתכנן מערכי מגנטים המבוססים על מודלים של שדות מגנטיים אשר יובילו ליצירת מוקדים מגנטיים. בהמשך, נייצר את המערכים ע"י הדפסת תלת ממד וע"י שיטת פוטוליטוגרפיה בדומה לתעשיית הצ'יפים. בעזרת השדות המגנטיים נוכל למקם חלקיקים מגנטיים ותאי עצב טעונים בננו-חלקיקים מגנטיים, לעודד את התמיינותם, מה שיוביל לייצור מבוקר של רשתות עצביות.

תכולת הפרויקט:

  • לימוד תאורטי וקריאה בספרות של מחקרים דומים
  • תכנון ויצור מערכי מגנטיים בשיטת פוטוליטוגרפיה והדפסת תלת ממד
  • סימולציה ומידול של שדות מגנטיים
  • ארגון חלקיקים מגנטיים במרחב כולל ניתוח כמותי של התפלגות החלקיקים
  • טיפול בתאים על גבי המערכים השונים ומעקב אחר התארגנות התאים

קורסי קדם:

קורס שדות

דרישות נוספות:

ידע בתוכנות COMSOL או OOMMF – יתרון

מקורות:

  1. Marcus, M., Karni, M., Baranes, K. et al. Iron oxide nanoparticles for neuronal cell applications: uptake study and magnetic manipulationsJ Nanobiotechnol 14, 37 (2016). https://doi.org/10.1186/s12951-016-0190-0 
  2. Marcus M, Smith A, Maswadeh A, et al. Magnetic Targeting of Growth Factors Using Iron Oxide NanoparticlesNanomaterials (Basel). 2018;8(9):707. Published 2018 Sep 10. doi:10.3390/nano8090707

308 Metasurfaces based optical filters

פילטרים ספקטרלים מבוססי מטה משטחים

שם המנחה: תומר לוי
אחראי/ת אקדמי/ת: דר' תומר לוי

הרקע לפרויקט:

מטה משטחים הינם מבנים מהונדסים דו מיימדיים בעלי גודל אופיני הקטן מאורך גל של האור הפוגע ומאופינים בתכונות אופטיות ייחודיות אשר לא ניתן לקבל בעזרת חומרים טבעיים. כאשר אור פוגע במטה משטח נוצרת אינטראקציה חזקה שיכולה לשנות את התכונות האופטיות של החומר בצורה דרמטית. לדוגמא ניתן לתכנן מטה-משטח אשר עבורו תהיה למשל 100% העברה/החזרה או בליעה, פיזור בזווית אנומליות, מטה משטח אשר עובד כעדשה שטוחה ועוד.

אחת המטרות העיקריות של פיתוח טכנולוגיה זאת היא להחליף את רכיבי האופטיקה הסטנדרטית שהינם גדולים יחסית ומגושמים, ברכיבים שטוחים דו מימידיים העשויים ממטה משטחים ובכך לגרום למזעור אדיר של רכיבים אופטיים, להוזלתם ולבצועים טובים יותר.

לתכנון ומימוש פילטרים ספקטרלים מבוססי מטה משטחים יש חשיבות גדולה בהרבה מאד שימושים של אופטיקה שטוחה בדימוי, גילוי, ספקטרוסקופיה וחישה. מימוש תכונות אקטיביות של רכיבים על ידי שינוי תגובתם הספקטרלית בזמן או במרחב תאפשר קפיצת מדרגה בפיתוח של רכיבים אקטיבים שטוחים.

מטרת הפרויקט:

מטרת הפרויקט היא לתכנן פילטרים ספקטרלים מתכווננים צרי סרט, המבוססים על מטה משטחים. הסטודנטים יחקרו את תכונות הפיזור והבליעה של ננו-אנטנות בודדות העשויות מחומרים שונים (מוליכים למחצה, חומרים דיאלקטריים), וגאומטריות שונות. משם יעברו הסטודנטים לתכנון מערכים דו מימדיים (מטה משטחים) ויחקרו את ביצועי הרכיב גם כתלול באור הגל של האור וגם כתגובה לפרמטרים חיצוניים כגון מתח/טמפ/לחץ ועוד.

תכולת הפרויקט:

לימוד תכונות פיזור ובליעה של חלקיקים קטנים מאורך גל. כתיבת קוד מטלאב/מתמטיקה או כלי אחר לחישוב תכונות הפיזור של חלקיקים. סימולציה של רכיבים דו מימדיים בעזרת תוכנה ייעודית וניתוח ביצועים. הסטודנטים יבצעו מדידות במעבדה של תכונות אופטיות של הרכיבים או של חומרים חדשים מהם מורכבים הרכיבים

קורסים מומלצים:

  • מכניקה קוונטית שימושית
  • שדות אלקטרומגנטיים
  • מבוא לאופטיקה מודרנית
  • קוי תמסורת
  • mathematica או matlab

מקורות:

Bohren, Craig F., and Donald R. Huffman. Absorption and Scattering of Light by Small Particles / Craig F. Bohren, Donald R. Huffman. Wiley, 2004.

309 Design and characterization of advanced silicon photonic filters

תכנון ואיפיון מסננים מתקדמים על-גבי פוטוניקה בסיליקון

שם המנחה: Prof. Avi Zadok
אחראי/ת אקדמי/ת: פרופ' אבי צדוק

הרקע לפרויקט:

קצב המידע המועבר במסדי נתונים גדל משנה לשנה באופן אקספוננציאלי. אי לכך, תקשורת אופטית חודרת בשנים האחרונות לשימוש בין שרתי מחשבים, בין לוחות וכרטיסים, ואף בין רכיבים שונים על-גבי אותו כרטיס. אין כל דרך אחרת להעביר את נפחי המידע הדרושים, ולו גם לטווח קצר. אי לכך, מערכות תקשורת אופטית מיושמות לצד מעגלים אלקטרוניים משולבים, בתחום הנקרא "פוטוניקה בסיליקון". הנושא הינו אחת הטכנולוגיות הקריטיות ביותר להמשך ההתפתחות של תחומי המחשוב והתקשורת. בפרט, קצב מידע גבוה מועבר בתקשורת אופטית באמצעות מספר ערוצים מקבילים, הנבדלים ביניהם באורכי הגל הנושאים שלהם. הערוצים מסוכמים ומופרדים באמצעות רכיבי ריבוב ומסננים מתאימים. הפרויקט יכלול תכנון ואיפיון מעבדתי של רכיבי סינון מתקדמים בעלי תגובת תדר רצויה.

מטרת הפרויקט:

מטרת הפרויקט הינה תכנון מפורט של מסננים להפרדת ערוצי תקשורת אופטית במעגלי פוטוניקה בסיליקון, ומדידה מעבדתית של תגובת התדר של רכיבים אלו. ייצור הרכיבים יתבצע במרכז הציוד של המכון לננו-טכנולוגיה על-ידי תלמידי תארים מתקדמים בקבוצת המחקר (ההכשרה בהפעלת ציוד היצור חורגת מהיקף הפרויקט). התוצר הסופי הינו מדידה ניסיונית, והשוואתה לתכנון

תכולת הפרויקט:

לימוד הנושא של מוליכי גלים בפוטוניקה בסיליקון. פתרון משוואות הגלים וניתוח מעבר האור בהתקן. לימוד עקרונות התכנון של מסננים פוטוניים, בכלים של עיבוד אותות ספרתי: אפסים וקטבים. הגדרת תגובת תדר ופונקציות תמסורת רצויות לרכיבי הפרדת ערוצים. תכנון נומרי מפורט של הרכיב. הכנת קבצים המיועדים לייצור. הכרת מערך המדידה הניסיוני לאיפיון מעגלים פוטוניים משולבים והציוד הנלווה אליו. רכישת מיומנות בשימוש ברכיבים ובמערך המדידה. איפיון נסיוני של הרכיבים שיוצרו על-פי התכנון. קיזוז ותיקון תגובת התדר של הרכיבים לפי הצורך. השוואה בין תכנון לביצוע. כתיבת דו"ח מסכם.

קורסי קדם:

תקשורת אופטית, שדות אלקטרו-מגנטיים, אותות ומערכות

דרישות נוספות:

רצוי מאוד לקחת את הקורס נושאים מתקדמים בתקשורת אופטית במקביל לביצוע הפרוייקט. רצוי לצפות בהרצאות הקורס "פוטוניקה בסיליקון" מתוכנית תארים מתקדמים (הקלטות זמינות לצפייה).

מקורות:

עבודת הדוקטורט של ד"ר דביר, מונק, בוגר הקבוצה. זמינה להורדה כאן:
http://avizadoklab.com/publications/

314 Development and testing of fiber drawing system and for fabrication of in-fiber photonic devices

פיתוח מערכת אופטית למשיכת סיבים ולייצור התקנים פנים סיביים עבור עיבוד פוטוני

שם המנחה: משה סינואני
אחראי/ת אקדמי/ת: פרופ' זאב זלבסקי

הרקע לפרויקט:

במעבדה פותחה מערכת יחודית למשיכת סיבים וייצור התקנים פנים סיביים המבוססת על חימום של preform

מטרת הפרויקט:

יש לקדם הפרויקט במציאת נקודות הפעלה אופטימליות ובייצור התקנים

תכולת הפרויקט:

טיפול במערכת הבקרה, ביצוע ניסויים ואופטימיזציה של נקודת העבודה, בנייה ובדיקת התקנים

קורסי קדם:

מבוא לאופטיקה

דרישות נוספות:

קורסים בבקרה ובעיבוד אות

מקורות:

R. Aharoni, L. Bidani, M. Sinvani and Z. Zalevsky, “Initiatory concept of localized CO2 laser based tapering rig for realization of in-fiber devices,” Optics Engineering 51(7), 075002 (2012).

315 Pump-probe based photonic super resolution approach for failure analysis of silicon wafers and integrated circuitry

מימוש שיטה פוטונית חדשנית של סופר רזולוציה לניטור תקלות בשבבי סיליקון במיקרו אלקטרוניקה

שם המנחה: משה סינואני
אחראי/ת אקדמי/ת: פרופ' זאב זלבסקי

הרקע לפרויקט:

פותחה שיטה חדשנית המשלבת שני קרני אור באורכי גל שונים כדי לקבל סופר רזולוציה תוך שימוש באי לנאריות הקיימת בסיליקון

מטרת הפרויקט:

לקדם את השיטה ולהבשילה, הדגמת יכולות סופר רזולוציה תת אורך גל

תכולת הפרויקט:

ביצוע ניסויים אופטיים וניתוח התוצאות במטלב

קורסי קדם:

מבוא לאופטיקה

מקורות:

H. Pinhas, O. Wagner, Y. Danan, M. Danino, Z. Zalevsky and M. Sinvani, “Plasma dispersion effect based super-resolved imaging in silicon,” Opt. Exp. 26, 25370-25380 (2018).

901 Biological computer vs. advanced hardware – measurements and theoretical analysis of energy consumption for complex problems

מחשב ביולוגי לעומת חומרה מתקדמת – מדידה וניתוח תאורטי של צריכת אנרגיה לבעיות חישוביות קשות

שם המנחה: Dr. Itamar Levi, Prof. Hillel Kugler
אחראי/ת אקדמי/ת: דר' איתמר לוי, פרופ' הלל קוגלר

הרקע לפרויקט:

לאחרונה פותחו שיטות להנדסה של יחידות חישוביות המבוססות על רכיבים ביולוגיים, במטרה לנצל את המקביליות המסיבית של הביולוגיה כדי לבצע במהירות חישובים מורכבים שקשה לבצע במחשבים סטנדרטיים. יתרון פוטנציאלי נוסף של חישוב ביולוגי הןא צריכת אנרגיה נמוכה מאוד. בפרויקט זה נרצה להעריך ולהשוות בין צריכת האנרגיה במחשב ביולוגי לבין צריכת האנרגיה ברכיבי חומרה מתקדמים. נתמקד בבעיות NP-קשות ובבעיות אופטימיזציה, למשל SAT ו -SAT-MAX.

מטרת הפרויקט:

במהלך הפרויקט הסטודנטים ילמדו עקרונות פעולה של שיטות לחישוב ביולוגי ויקראו מאמרים העוסקים בצריכת אנרגיה של הרכיבים הביולוגיים. בנוסף יבצעו מדידות ניסיוניות של אלגורימים מתקדמים לפתרון של SAT ו MAX-SAT עבור benchmarks שיוגדרו במהלך הפרויקט ובכך נוכל לאמוד את הפוטנציאל של מחשבים ביולוגיים לחסוך אנרגיה בצורה יותר מבוססת ומדויקת. לשם השוואה המערכת אלקטרונית שמבצעת חישוב אקוויולנטי תמומש להערכת ביצועים אנרגטיים.

תכולת הפרויקט:

לימוד רקע תאורטי, הגדרת benchmarks , ממוש יעיל של אלגוריתמים לפתרון בעיות SAT ו -SAT-MAX.
השוואת יעילות אנרגטית בין חומרה למחשב ביולוגי.

קורסי קדם:

83691 Formal Verification and Synthesis (אפשר במקביל לפרויקט)

דרישות נוספות:

הפרויקט משלב גם השוואה למימוש אלקטרוני ולכן עדיפות תינתן עבור סטודנטים (או לפחות שאחד הסטודנטים) המשלבים שרשרת חומרה או לחילופין שיש להם רקע רלוונטי שמתאים לתכן חומרה. רקע רלוונטי או ניסיון קודם הוא תכן בשפת חומרה (למשל VHDL או VERILOG), אופציה נוספת היא שלסטודנטים יש יכולות סבירות בתכן על מעבד (ב assembler או C) והתנסו בפרויקט שבו עבדו על פלטפורמת מעבד.
יכולות אלגוריתמיות ותכנותיות גבוהות.
נכונות להיקף עבודה משמעותי.

מקורות:

Nicolau, D. V. Jr. et al. Parallel computation with molecular-motor-propelled agents in nanofabricated networks. PNAS 113, 2591–2596 (2016).

Aluf-Medina, M., Korten, T., Raviv, A., Nicolau Jr., D. V. & Kugler, H. Formal Semantics and Verification of Network-Based Biocomputation Circuits. Verification, Model Checking, and Abstract Interpretation 12597, 464–485 (2021).

https://bio4comp.org/

702 Electromagnetic side-channel analysis on BioChips environment

תקיפות ערוצי צד אלקטרומגנטיות בסביבת ביו שבבים

שם המנחה: איתמר לוי
אחראי/ת אקדמי/ת: דר' איתמר לוי

הרקע לפרויקט:

תקיפו ערוצי צד מהוות אתגר משמעותי למערכות אלקטרוניות הדרושות "בשמירת סוד". מימוש מערכות קריפטוגרפיות זולג אינפורמציה סודית דרך ערוצים מדידים כגון הפליטה האלקטרומגנטית מהרכיב. בגלל שהזליגה הזו קורלטיבית לערכים פנימיים המחושבים באלגוריתם הממומש היא פולטת אינפורמציה על (למשל) מפתחות הצפנה. דרך אינפורמציה זו קל הרבה יותר לתקוף את האלגוריתמים ולהסיק סודות. הגנה בפני תקיפות אלו גוררת עלות מימוש אקספוננציאלית עם רמת האבטחה (זמן חישוב או אנרגיה). הפרויקט יעסוק באיך להגן על ביו שבבים. ביו שבבים יושבים בסביבות (למשל) ביולוגיות, מתחת לעור, בתוך הגוף, בתוך נוזלים או כימיקלים ו\או ממומשים למשל בפלסטיק או מאלצים את התוקף "למדוד" מרחוק.
רלוונטי לשרשרת סייבר \ חומרה וגם לננו-אלקטרוניקה.

מטרת הפרויקט:

הפרויקט יעסוק בהבנה של עד כמה ניתן לדרוש "פחות" אבטחה בגלל הסביבה המיוחדת הזו של ביו שבבים שממסכת את האות באופן אינהרנטי. ע"י כך נוכל לשלם הרבה פחות עבור רכיבים אלו שבדרך כלל מסופקים על ידי סוללה ולהם מעט משאבים.

תכולת הפרויקט:

מימוש מערכת שומרת סוד (למשל הצפנה), עבודת עם סביבת מדידה אלקטרומגנטית. מימוש תקיפות בסביבות המדמות סביבות ביולוגיות, בניית מודלי זליגה וניתוח פרמטרים של הסביבה.

קורסי קדם:

לא חובה (ניתן לקחת במקביל לביצוע)- מבוא לקריפטוגרפיה.
לחילופין למסלולי ננו - מבוא לחומרה בטוחה

דרישות נוספות:

  • יכולות טכניות והבנת קוד פייטון ייתרון.
  • יכולות מימוש חומרה - לא חובה אך יתרון.
  • הבנה בסיסית של ציוד מדידה

מקורות:

Very basic source, just to "get a feeling":
[1] file:///C:/Users/user/Downloads/cryptography-04-00015-v3.pdf

113 Development of a biochip for the detection of pathogens and small molecules in air-borne samples

פיתוח ביו-צ'יפ לאיתור פתוגנים ומולקולות קטנות בדגימות אוויר

שם המנחה: עדי ענאקי
אחראי/ת אקדמי/ת: פרופ' רחלה פופובצר

הרקע לפרויקט:

תחום הביו-סנסורים נמצא בחזית המחקר בשנים האחרונות.

בחברה המודרנית כיום קיים צורך בחיישנים מהירים ויעילים לזיהוי של מגוון מטרות. למשל: פתגונים כדוגמת חיידקים ווירוסים ומולקולות קטנות, כגון חומרי נפץ וסמים.
מגיפת הקורונה הוכיחה את חשיבותם של חיישנים אלו המהווים כלי מרכזי לזיהוי מוקדם ובזמן-אמת.

ביו-סנסורים הקיימים כיום מסתמכים על שיטות חישה מסורתיות. שיטות אלו לרוב יקרות, איטיות וכוללות ציוד כבד ומסורבל.

אנו מציעים לפתח פלטפורמת חישוב ביולוגית חדשנית, המבוססת על חיידקים מהונדסים גנטית אשר באופן ספציפי יזהו פתוגנים ומולקולות קטנות בדגימות אוויר. ביו-סנסורים אלה יהיו משולבים בשבב חשמלי ופעילותם תתורגם לאות חשמלי.

מטרת הפרויקט:

פיתוח שיטה לשילוב דגימות אוויר בשבב אלקטרוכימי לזיהוי יעיל ומהיר של מיקרואורגניזמים הנמצאים באוויר. בניית מערכת לדגימה של האוויר בזמנים נתונים ולהמרת דגימות האוויר לדגימות נוזליות.
דגימות אלו ישולבו בשבב אלקטרוכימי המכיל חיידק ביו-סנסור המהונדס גנטית לזיהוי ספציפי של מיקרואורגניזמי שונים.

תכולת הפרויקט:

  • סקר ספרות על מערכות דגימה מאוויר והמרת דגימות גז לדגימות נוזליות.
  • הרכבת מערכת דגימת אוויר ומערכת המרת גז לנוזל ושילובה במערכת אלקטרוכימית ואלקטרונית קיימת.
  • ביצוע מדידות במערכת תאים אלקטרוכימיים לזיהוי מיקרואורגניזמים שונים, ותרגומם לסיגנל חשמלי.
  • אימות ואפיון הסיגנל החשמלי המתקבל.
  • אנליזה של נתוני המדידות ופענוח הנתונים.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים
  • ביו-סנסורים

מקורות:

1) Rachela Popovtzer, Tova Neufeld, Dvora Biran, Eliora Z. Ron, Judith Rishpon, and Yosi Shacham-Diamand Novel Integrated Electrochemical Nano-Biochip for Toxicity Detection in Water Nano Letters 2005 5 (6), 1023-1027 DOI: 10.1021/nl0503227
2) Chao Wang, Mei Liu, Zhifei Wang, Song Li, Yan Deng, Nongyue He, Point-of-care diagnostics for infectious diseases: From methods to devices, Nano Today, Volume 37,
2021
3) Heidt, B.; Siqueira, W.F.; Eersels, K.; Diliën, H.; van Grinsven, B.; Fujiwara, R.T.; Cleij, T.J. Point of Care Diagnostics in Resource-Limited Settings: A Review of the Present and Future of PoC in Its Most Needed EnvironmentBiosensors 202010, 133. https://doi.org/10.3390/bios10100133