פרויקטי גמר - הנדסת חשמל - המעבדה לננו-אלקטרוניקה תשפ"א

201 Guided Physical Implementation of Cordic rotation Unit

מימוש פיזי נשלט של יחידת חישוב קואורדינטות מבוססת תאים סטנדרטיים


שם המנחה: חנן מרינברג
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

CORDIC is an iterative algorithm for calculating trig functions including sine, cosine, magnitude and phase. It is particularly suited to hardware implementations because it does not require any multiplies.

מטרת הפרויקט:

בפרוייקט נממש יחידת Cordic יחודית אשר עבורה נפתח אלגוריתמי place and route חדשים אשר ישפרו משמעותית את היחידה בהיבטי מהירות, הספק ושטח אשר לא מושגים בשימוש בכלים האוטומטיים. במטרה להשתלב ביישום פיזי של ארכיטקטורת מעבד ה RISC-V המתפתחת במעבדות ננו בבר אילן.

תכולת הפרויקט:

בפרוייקט תצטרכו ללמוד היטב את מבנה היחידה, לעבוד על האלגוריתמים לפיתוח, לפתח ארכיטקטורת חומרה מתאימה, וליישמה. להשתמש בכלי CAD מתקדמים בעזרת כלי חדשני למיקום מונחה שפיתחנו בבר-אילן.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים 83-308.
  • מעגלים משולבים ספרתיים 83-313

מקורות:

https://en.wikipedia.org/wiki/CORDIC

 

202 Design and implementation of a bit cell for controlled placement Multi-Ported Standard Cell Memories

תכנון ויישום תא זיכרון מורכב עבור ארכיטקטורת זיכרון מרובה גישות מונחה מיקום

שם המנחה: חנן מרינברג
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

זיכרונות הינן אבן הבניין המרכזי בשבבים אלקטרוניים כיום וממומשים במגוון טכנולוגיות עבור צרכים שונים. בפרויקט זה, נתמקד בזיכרונות מרובי גישות הממומשים באמצעות תאים סטנדרטיים.

מטרת הפרויקט:

בפרויקט נפתח יחידת זיכרון מורכבת ייחודית, המותאמת לבניית זיכרונות אלה. הפרוייקט יכלול חשיבה ותכנון של יחידה המכילה יחידת זיכרון, לוגיקה למספר פורטים לקריאה ולוגיקה למספר פורטים לכתיבה.

תכולת הפרויקט:

בפרויקט תצטרכו לתכנן את מבנה היחידה, וליישמה. במטרה לשלב בארכיטקטורת זיכרון מרובה גישות המשתמשת בכלי CAD מתקדמים ובעזרת כלי חדשני למיקום מונחה שפיתחנו בבר-אילן.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים 83-308.
  • מעגלים משולבים ספרתיים 83-313

מקורות:

Teman, et al. "Power, Area, and Performance Optimization of Standard Cell Memory Arrays Through Controlled Placement"

203 Design and implementation guided architecture for Ternary Content-Addressable Memory

תכנון ויישום ארכיטקטורת זיכרון TCAM מונחה מיקום

שם המנחה: חנן מרינברג
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

זיכרונות הינן אבן הבניין המרכזי בשבבים אלקטרוניים כיום וממומשים במגוון טכנולוגיות עבור צרכים שונים. בפרויקט זה, נתמקד בזיכרונות TCAM הממומשים באמצעות תאים סטנדרטיים.

מטרת הפרויקט:

בפרויקט הזה נתעסק בלתכנן וליישם בלוק שבמהותו הוא זיכרון, אבל מתוחכם יותר מ RAM סטנדרטי וכולל גם מעגלים דיגיטליים חישוביים.

תכולת הפרויקט:

בפרוייקט תצטרכו ללמוד היטב את מבנה היחידה, לעבוד על האלגוריתמים לפיתוח, לפתח ארכיטקטורת חומרה מתאימה, וליישמה. להשתמש בכלי CAD מתקדמים בעזרת כלי חדשני למיקום מונחה שפיתחנו בבר-אילן.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים 83-308.
  • מעגלים משולבים ספרתיים 83-313

מקורות:

https://en.wikipedia.org/wiki/Content-addressable_memory

204 Chip Identification Circuit (Fingerprint) using Physical Unclonable Functions (PUF) for Hardware Security

מעגל זיהוי אנלוגי המבוסס על PUF

שם המנחה: Yizhak Shifman
אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

In the modern era, there is a huge amount of secured data transfer involving credit cards, autonomous vehicles, IOT, etc. It is essential for data centers to be able to identify users accurately and securely. A new category of circuit called Physical Uncloneable Functions (PUF) has been utilized to provide secret encryption keys and authentication. The PUFs use random transistor mismatch to generate digital codes, which are unknown even to the device manufacturer. The problem with PUF are that they also have many flaky bits which can change due to noise effects, requiring the use of complex error correction algorithms.

מטרת הפרויקט:

In this project, several novel techniques will be utilized to improve the reliability and robustness of the basic PUF cell. These techniques involve a combination of analog, digital and device physics concepts. During the course of this work, you will design a novel PUF array as well as its readout circuitry, all of which will be implemented in a Si IC. This is an original idea whose successful implementation can result in an academic publication.

תכולת הפרויקט:

In this project the student will design a PUF using digital and analog techniques. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance. This project will include a tapeout and Silicon measurements. The successful conclusion of this project may lead to an academic publication. Since there are several topologies here, there can be more than one project available.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – מומלץ

מקורות:

  1. G. Schrijen, “Scalable Security for IoT” in ISSE 2015, Berlin, Germany.
  2. C. Herder, Y. Meng-Day, F. Koushanfar, S. Devadas, "Physical Unclonable Functions and Applications: A Tutorial", Proceedings of the IEEE, vol. 102, no. 8, pp. 1126 – 1141, (2014)

205 Analog to Digital Converter based the SAR (Successive Approximation Register) Algorithm

ממיר אנלוג לדיגיטל מבוסס על מדולטור של SAR

שם המנחה: TBD

אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

Analog to digital converters are used to transfer real-world information, which is analog, to the digital domain for further signal processing. This is an extremely important function which is prevalent in all computer systems. There is a constant battle to improve performance, lower power, increase bandwidth, etc….

מטרת הפרויקט:

In this project you will design a highly compact analog to digital converter (ADC) using the SAR (Successive Approximation Register).

The SAR topology is one of the most attractive for low power and compact ADC applications. It is also a relatively simple architecture conceptually and lends itself to many types of optimizations to improve accuracy, reduce area and enable low power operation. You will need to learn the theory and then implement the circuit in 65nm CMOS.

The SAR ADC includes both analog and digital blocks, which will allow you to develop skills in both.

תכולת הפרויקט:

In this project the student will design a SAR ADC using digital and analog techniques. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – חובה

מקורות:

  1.  Analog Integrated Circuit Design – Tony Chan Carusone, David Johns and Kenneth Martin – John Wiley Publishers. Chapters 16 and 17

 

  1. “A compact 10-b SAR ADC with unit-length capacitors and a passive FIR filter” P Harpe IEEE Journal of Solid-State Circuits 54 (3), 636-645

206 Compact Analog to Digital Converter based on a Sigma-Delta modulator

ממיר אנלוג לדיגיטל מבוסס על מדולטור של דלתא סיגמא

שם המנחה: דניאל דהן
אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

Analog to digital converters are used to transfer real-world information, which is analog, to the digital domain for further signal processing.

This is an extremely important function which is prevalent in all computer systems. There is a constant battle to improve performance, lower power, increase bandwidth, etc.

The Sigma Delta modulator is a low power approach to digitize analog information and is one of the most prevalent architectures.

מטרת הפרויקט:

. In this project you will design a highly compact analog to digital converter (ADC) using a Sigma Delta approach.

We will attempt some state-of-the-art analog and digital techniques to optimize the modulator. You will need to learn the theory and then implement the circuit in 65nm CMOS.

The circuit includes an analog amplifier and switched capacitor circuits, as well as a digital filter.

תכולת הפרויקט:

In this project the student will design a Sigma Delta ADC using digital and analog techniques.

The schematics will be prepared in Virtuoso and simulated.

Layout and post-layout simulations will be conducted to verify the circuit performance.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – חובה

מקורות:

  1. T. Oshita, J. Shor, D. E. Duarte, A. Kornfeld, G. L. Geannopoulos, J. Douglas, and N. Kurd,  "A Compact First-Order ΣΔ modulator for Analog High-Volume Testing of Complex System-on-Chips in a 14 nm Tri-Gate Digital CMOS Process", IEEE Journal of Solid-State Circuits vol. 51, no. 2 pp. 378 - 390 (2016)
  2. Ro’ee Eitan and Ariel Cohen, “Untrimmed Low-Power Thermal Sensor for SoC in 22 nm Digital Fabrication Technology”, J. Low Power Electron. Appl. 2014, 4, 304-316; doi:10.3390/jlpea4040304

207 Ring Oscillator Based Amplifier for Sensor Applications

מגבר המבוסס על מתנד-טבעת עבור יישומי חיישנים

שם המנחה: Asaf Feldman
אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

Analog Amplifiers are complex circuits which require a lot of hand-tuning and are not scalable.

Recently, a new type of amplifier has emerged which is much more “digital”.

This is called a “Ring Ampifier” which essentially uses a ring oscillator as an amplifier.

It can be much more compact and simpler than a corresponding analog circuit.

מטרת הפרויקט:

In this project you will design a ring amplifier and use it in an application such as analog-to-digital conversion or voltage regulation. These are generally application which are left to analog circuits, so this amplifier will make these circuits more “digital”. The ring amplifier will be utilized in one of these applications.

תכולת הפרויקט:

You will need to learn the theory and then implement the circuit in 65nm CMOS. 

An application will be chosen for the ring amplifier circuit.

The schematics will be prepared in Virtuoso and simulated.

Layout and post-layout simulations will be conducted to verify the circuit performance.

Since there are several applications here, there may be more than one project available.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – חובה

מקורות:

  1. B. Hershberg, “Ring Amplifiers for Switched Capacitor Circuits”, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 47, NO. 12, DECEMBER 2012, pp. 2928.
  2. Jun-Eun Park, et. al. “A 0.4-to-1.2V 0.0057mm2 55fs-Transient-FoM Ring-Amplifier-Based Low-Dropout Regulator with Replica-Based PSR Enhancement” ISSCC 2020 Digest of Technical Papers, pp. 492-3.

208 Ultra-Low Power (pico-Watt) Voltage-Level Sensor for Battery Level Monitoring and Energy Harvesting

חיישן רמת מתח סופר-חסכוני באנרגיה המתאים ליישומים של ניטור קצירת אנרגיה ורמות סוללה

שם המנחה: Asaf Feldman
אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

The Internet of Things has enabled devices at the edge which either have a very small battery or function from Energy Harvesting. It is very important to monitor the amount of charge left in the supply voltage to see if the sensor can remain operational or the battery requires replace. In the case of bio-implantable devices, this battery replacement can involve an operation on the patient. Thus a voltage-level sensor is required which consumes the bare minimum of power to indicate whether the battery or energy harvester has sufficient charge in it for the IOT device to function.

מטרת הפרויקט:

In this project you will design an accurate voltage level sensor to determine the amount of charge left in a battery or energy harvester. This sensor has to consume the absolute bare-minimum amount of power (pico-Watts) yet give an accurate DC response. This will involve designing ultra-low-power circuits including a reference voltage generator and comparator as well as digital support circuitry.

תכולת הפרויקט:

You will need to learn the theory and then implement the circuit in 65nm CMOS. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance. The successful conclusion of this project may lead to an academic publication.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – חובה

מקורות:

  1. I. Lee, Y. Lee, D. Sylvester, and D. Blaauw, “Battery Voltage Supervisors for Miniature IoT Systems,” IEEE J. Solid-State Circuits, vol. 51, pp. 2743–2756, November 2016.
  2. C. D. Roover and M. S. J. Steyart, “Energy Supply and ULP Detection Circuits for an RFID Localization System in 130 nm CMOS,” IEEE J. Solid-State Circuits, vol. 45, pp. 1273–1285, June 2010.

209 Voltage Droop Detector Circuit for protection against current surges in Microprocessors.

תכנון גלאי נפילות מתח מהיר וחסכוני לשם הגנה מפני נחשולי זרם במיקרו-מעבדים מתקדמים

שם המנחה: Elisheva Berkowitz and Yizhak Shifman
אחראי/ת אקדמי/ת: פרופ' יוסי שור

הרקע לפרויקט:

The operating current in microprocessors can vary over a very wide range (100uA up to 10’s of Amps). When there is a sudden current surge, the voltage supply, which is inductive in its nature, can have a temporary reduction in its supply level – an event which is referred to as a voltage droops. The droops can be as large as 100’s of mV, and can cause the processor to fail in its computations. In order to mitigate this problem, sensors are required which can monitor the voltage supply and quickly indicate that a droop event is in progress. After getting an indication from this sensor, the Microprocessor can take actions which prevent errors from occurring.

מטרת הפרויקט:

In this project, you will design a Droop Detector (DD) circuit which indicates that a droop event is in progress. The DD has to have a very high bandwidth (~ GHz), but nevertheless consume very low power (10’s of uA). A modified CMOS inverter, used as an analog amplifier, will be utilized here. Several digital and analog modifications of the amplifier will be required in order to optimize it for this application.

תכולת הפרויקט:

You will need to learn the theory and then implement the circuit in 65nm CMOS. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance. In this project, we plan a tapeout as well, and post-Silicon measurements. The successful conclusion of this project may lead to an academic publication.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – חובה

מקורות:

  1. Aydin Dirican, et. al. "A Droop Measurement Built-in Self-Test Circuit for Digital Low-Dropout Regulators" 19th Int'l Symposium on Quality Electronic Design IEEE
  2. Thomas Strach, et. al. "Droop Mitigation using Critical-Path Sensors and an On- Chip distributed Power Supply Estimation Engine in the z14™ Enterprise Processor", IEEE International Solid State Circuits Conference, 2018.

210 Deep quantization of neural networks

קוונטיזציה עמוקה של רשתות עמוקות

שם המנחה: צחי נוי
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

רשתות נוירונים הם נושא חם בתחום למידת מכונה ובינה מלאכותית. אחד החסרונות של הרשתות המודרניות הוא גודל המודל שלא מתאים למוצרי קצה דלי זכרון. לצורך כך משתמשים בקוונטיזציה לצמצום גודל המודל

מטרת הפרויקט:

בפרויקט נחקור האפשרות של קוונטיזציה לא כחסרון שמוסיף רעש אלא כחלק מפונקציות האקטיבציה של הרשת כך שהקוונטיזציה אינה מוסיפה רעש אלא מגבירה את הדיוק

תכולת הפרויקט:

  • למידת רקע של למידת מכונה ורשתות נוירונים בפרט
  • הכירות עם סביבת pytorch
  • מימוש קוונטיזציה בונה ב pytorch כולל fwd ו backprop
  • המרת רשת לחומרה ומדידת נתונים כגון שטח והספק
  • השוואה לפתרונות אחרים

קורסי קדם:

  • אלגברה לינארית
  • מערכות ספרתיות
  • מעגלים ספרתיים

דרישות נוספות:

  • למידת מכונה ורשתות נוירונים
  • python
  • pytorch

מקורות:

  1. A Survey on Methods and Theories of Quantized Neural Networks
  2. Quantized Neural Networks: Training Neural Networks with Low Precision Weights and Activations 

211 Design Neural Network Accelerator Circuits

תכנון מעגלי האצה לרשתות ניורונים

שם המנחה: אור מלטבשי
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

רשתות ניורונים הפכו להיות נושא חם ונפוץ בחמש שנים האחרונות. דבר המחייב שיפור ביצועים מתמיד של הרשתות השונות וכן חומרה אשר תתמוך בדרישות השונות של המערכות. בפרויקט זה נעסוק בתכנון מעגלים יעודיים להאצת רשתות ניורונים וכן בשיפור ביצועי מעגלים קיימים.

מטרת הפרויקט:

מטרת הפרויקט הינה תכנון המעגלים השונים, ביצוע סימולציות שלהם, ומימוש פיזי (layout/place and route).

תכולת הפרויקט:

הסטודנטים יתכננו את המעגל ויבצעו סימולציות שונות שלו בהיבטי ביצועים והספק. לאחר מכן יממשו אותו פיזית (layout/place and route) ויבחנו את הביצועים שלו (מהירות, הספק ושטח) לאחר מימוש פיזי.

קורסי קדם:

  • מעגלים משולבים ספרתיים

מקורות:

A Survey of Accelerator Architectures for Deep Neural Networks - מאמר

212 In-memory computing using GC-eDRAM

ביצוע פעולות חישוביות בזמן קריאת זיכרון דינאמי

שם המנחה: רומן גולמן
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications.

One of the drawbacks of this technology is the limited data retention time (DRT) due to parasitic leakage currents.

מטרת הפרויקט:

The unique features of the GC-eDRAM memories, require specialized memory block design, but also an opening for implementing in-memory logical computations with very little overhead. For example readout of an logical "NOR" function result for two adjacent memory rows can be performed in such a memory with very little overhead. In this project we aim to design a GC-eDRAM memory that will allow to perform various logical computation with data stored in the memory.

תכולת הפרויקט:

The students will participate in a development of unique memory design and architecture. They will be required to suggest and implement novel ideas in memory design and run various simulations to prove the suggested memories reliability.

קורסי קדם:

  • Digital Integrated Circuits

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5.

213 Improving data integrity in embedded memories by applying algorithmic/statistical methods

שיפור שימור מידע בזכרונות מוטמעים בשילוב שיטות אלגוריתמיות/סטטיסטיות

שם המנחה: רומן גולמן
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications. One of the drawbacks of this technology is the limited data retention time (DRT) due to parasitic leakage currents.

מטרת הפרויקט:

The project is a research project with both theoretical and implementation components, intended for both Electrical and Computer Engineering students.

תכולת הפרויקט:

In this project, the students will model the probability of cell failures and explore the possibilities of improving the DRT through algorithmic approaches mixed with circuit design techniques.

קורסי קדם:

  • מעגלים משולבים ספרתיים 83-313

דרישות נוספות:

The work will include Matlab, and probably Verilog/Virtuoso components.

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5

214 Design and Implementation of Content-addressable memory

תכנון ומימוש זכרונות CAMs

שם המנחה: אור מלטבשי וחנן מרינברג
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

זכרונות CAMs הן זכרונות שמשתמשים בהם באפליקציות הדורשות מהירות גבוהה בחיפוש של ערך מסויים בזיכרון. בפרויקט זה נתכנן ונממש זיכרון CAM ונבחן את הביצועים שלו בהשוואה לזיכרונות חיפוש אחרים.

מטרת הפרויקט:

מימוש זיכרון CAM בעל מהירות גבוהה וצריכת הספק נמוכה.

תכולת הפרויקט:

תכנון ארכיטקטורה לזכרון CAM, מימוש פיזי של הזיכרון וביצוע סימולציות להשוואה

קורסי קדם:

  • מעגלים משולבים ספרתיים

מקורות:

  1. Content-Addressable Memory (CAM) Circuits and Architectures: A Tutorial and Survey - paper

215 Design Configurable Circuits

תכנון מעגלים קונפיגורביליים

שם המנחה: אור מלטבשי
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

על מנת לאפשר התאמה של מעגל חומרתי מסויים לאפליקציות שונות אשר הדרישות שלהם שונות חשוב לממש את המעגלים קונפיגורבילים כמה שניתן בזמן ריצה. בפרויקט זה נסתכל על מספר מעגלים ונהפוך אותם לקונפיגורביליים .

מטרת הפרויקט:

מימוש מעגלים נפוצים באופן קונפיגורבילי תוך כדי זמן ריצה

תכולת הפרויקט:

הסטודנטים יקבלו 2 סוגי מעגלים שונים ויתכננו איך ניתן להוסיף חומרה אשר תגרום להפיכתם למעגל אחד אשר הקונפיגורציה שלו נקבעת ע"י controller .

קורסי קדם:

  • מעגלים משולבים ספרתיים

מקורות:

ינתנו ע"פ התעניינות בפרויקט

216 Memories in Cryogenic conditions

זכרונות בתנאים קריוגניים

שם המנחה: יוסי גרינבלט
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

In the project we'll use special PDK with characterization for cryogenic temperatures, and design our memory according to the behavior of the cells in those conditions.

מטרת הפרויקט:

The goal is to build a functioning memory that's optimized to working in cryo temperatures.

תכולת הפרויקט:

  • Start with research on cryogenic temperatures.
  • Run simulations on Virtuoso and design the memory accordingly.

קורסי קדם:

  • מעגלים משולבים ספרתיים (83313)
  • מעגלי ומערכות VLSI דיגיטליים (83612)

מקורות:

  1. Device and Circuit Cryogenic Operation for Low Temp Electronics

217 Architecture design of low-power embedded DRAM

תכנון ארכיטקטורה של זכרון דינאמי מוטמע דל הספק

שם המנחה: אודם הראל
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

כיום רוב השטח של הצ'יפים אותם אנו רואים בכל מכשיר אלקטרוני יום-יומי מנוצל על ידי הזכרונות, לכן יש להם חשיבות רבה וקריטית כאשר מתכננים אותם. לשם כך, מנסים תמיד לפעול להקטנת שטחם, הקטנת צריכת ההספק שלכם ולייעל את הפונקציונליות. פרמטרים רבים נלקחים בחשבון הן ברמת ההתקן הבודד וגם למעלה בהיררכיית מימוש המאקרו של הזכרון.

מטרת הפרויקט:

מטרת הפרוייקט היא כאמור, ליצור ארכיטקטורה של זכרון יחד עם הפריפריות הנלוות אליו תוך כדי התחשבות בפרמטרים שיביאו לצמצום בשטח, הספק ומהירות רבה של הבלוק. ניצור ברמת ההתקן את הזכרון הדיגיטלי יחד עם הפריפריות השונות שנצטרך על מנת להפעיל את הזכרון לקריאה וכתיבה נכונה.

תכולת הפרויקט:

בפרוייקט זה, הסטודנטים יעבדו עם מערכת virtuoso על מנת לתכנן זכרון ברמת הסכימה והן הרמת הלייאוט. תחילה, ידרשו לתכנן איך יעבוד הזכרון ואילו פריפריות יידרשו על מנת ליצור בלוק יעיל ומשופר שיפיעלו את הזכרון. יחד עם זאת, ניצור בקר חיצוני ונלמד כיצד מוטמע זכרון במערכת מחשובית בימנו.

קורסי קדם:

מעגלים משלובים או/ו מעגלים ספרתיים (יחד עם המעבדה)

דרישות נוספות:

ידע ב virtuoso

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish

 

218 Static Power Analysis Attacks – How to get rid of this nuisance

תקיפות הספק סטטיות - איך להפרד מהן

שם המנחה: איתמר לוי
אחראי/ת אקדמי/ת: דר' איתמר לוי

הרקע לפרויקט:

The project deals with security of cyber/crypto systems when facing adversaries in the physical world. Specifically, the students will challenge the issue of Static power analysis attacks (Static SCAs) from microelectronic devices.

The goal of the project will be to resist such attacks fostering protection circuitry which will be designed along the project.

The general idea is to utilize very efficient (energy and area) randomization circuitry at the block level.

The students will also perform advanced attacks to evaluate their designs and improvements and will evaluate several concrete electronic and security metrics to conclude efficiency.

The students will also build measurement acquisition and evaluation experiment setup for the purpose.

מטרת הפרויקט:

This project will deal specifically with building circuits and architectures, simulating and evaluating their outcome security against static power-analysis attacks.

The students will implement specific chosen benchmarks and embed circuit-level protection, they will implement attacks in Matlab/Python and evaluate security with common/known attacks.

תכולת הפרויקט:

  • building simulation environment
  • building uni-variate attacks environment Python/Matlab
  • evaluating security and electronic performance
  • building in-lab measurement and evaluation setup

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים 83308
  • תיאוריה של מערכות ספרתיות 83256
  • מעגלים משולבים ספרתיים 83313
  • מעגלים דיגיטאליים ומערכות 83612 VLSI

דרישות נוספות:

Bonus but not a must:

  • מעגלים אנלוגיים משולבים 83611

מקורות:

will be given in a personal meeting

219 Hardware Accelerator for machine deep learning fully connected layers

מאיץ חמרה עבור מערכת למידת מכונה עמוקה ליישום שכבות בקישור-מלא

שם המנחה: אודי קרא
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

למידת מכונה עמוקה באמצעות רשתות נוירונים מלאכותיות הינה כיום טכנולוגיה מובילה המיושמת בתחומים רבים, הדרישות ההולכות וגדלות מביצועי מערכות אלו מציבות אתגרי קצה עבור זמני תגובה וצריכת הספק לפעולה אשר אינם ניתנים להשגה בעיבוד תכנה בלבד ללא מאיצי חמרה. רשת הנוירונים העמוקה מורכבת משכבות רבות מסוגים שונים בכללם מספר שכבות בקישור מלא המאופינות ע"י הצורך להזרים אליהם ולעדכנם במידע רב בקצבים גבוהים ובתחלופה גבוהה מסדר גודל של מאות GB/s. פרויקט זה יתמודד עם אתגרים אלו באמצעות תשתית חמרה קונפיגורבלית מוכוונת מימוש פיזיקלי באופן אופטימלי לדרישות המערכת.

מטרת הפרויקט:

ההישגים הצפויים מהפרויקט הינם הגדרה, פיתוח ויישום של מאיץ רשת-נוירונים בקישוריות מלאה. התוצרים הינם: מחקר מקיף על פתרונות קיימים, הגדרת פתרון מתקדם, מימוש והדגמה שימושית של המאיץ על כרטיס מבוסס FPGA, וניתוח יעילות התוצאה.

תכולת הפרויקט:

מטלות הסטודנטים יכללו הגדרה, פיתוח קוד ורילוג, קוד בדיקה, סינטזה תקינה של המאיץ עבור טכנולוגיה של לפחות 65 ננומטר, בניית ממשק ומעטפת תכנה למאיץ

קורסי קדם:

  • אלגברה ליניארית
  • מעגלי ומערכות VLSI דיגיטליים
  • מעגלים משולבים ספרתיים -מומלץ
  • מעבדה מתקדמת בננו-אלקטרוניקה (מעבדת ה- FPGA)
  • עקרונות של תכנון מערכות דיגיטליות

מקורות:

https://en.wikipedia.org/wiki/AI_accelerator (see also plenty of links in references)

220 Hardware Accelerator for machine deep learning convolution layers

מאיץ חמרה עבור מערכת למידת מכונה עמוקה ליישום שכבות-קונבלוציה

שם המנחה: אודי קרא
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

למידת מכונה עמוקה באמצעות רשתות נוירונים מלאכותיות הינה כיום טכנולוגיה מובילה המיושמת בתחומים רבים, הדרישות ההולכות וגדלות מביצועי מערכות אלו מציבות אתגרי קצה עבור זמני תגובה וצריכת הספק לפעולה אשר אינם ניתנים להשגה בעיבוד תכנה בלבד ללא מאיצי חמרה. רשת הנוירונים העמוקה מורכבת משכבות רבות מסוגים שונים הכוללים שכבות קונבלוציה המאופינות ע"י הצורך מספר רב של פעולות כפל-סיכום (MAC) מסדר גודל של Tera ops/sec לאיפיון קלט. פרויקט זה יתמודד עם אתגרים אלו באמצעות תשתית חמרה קונפיגורבלית מוכוונת מימוש פיזיקלי באופן אופטימלי לדרישות המערכת.

מטרת הפרויקט:

ההישגים הצפויים מהפרויקט הינם הגדרה, פיתוח ויישום של מאיץ רשת-נוירונים בקישוריות מלאה. התוצרים הינם: הדגמה מעשית של הפרויקט בתשתית FPGA, הוכחת יעילות עבור מימוש VLSI

תכולת הפרויקט:

מטלות הסטודנטים יכללו הגדרה, פיתוח קוד ורילוג, קוד בדיקה, סינטזה תקינה של המאיץ עבור טכנולוגיה של לפחות 65 ננומטר, בניית ממשק ומעטפת תכנה למאיץ

קורסי קדם:

  • אלגברה ליניארית
  • מעגלי ומערכות VLSI דיגיטליים
  • מעגלים משולבים ספרתיים -מומלץ
  • מעבדה מתקדמת בננו-אלקטרוניקה (מעבדת ה- FPGA)
  • עקרונות של תכנון מערכות דיגיטליות

מקורות:

https://en.wikipedia.org/wiki/AI_accelerator (see also wiki references with many good links)

221 Quantum Computing Supporting Circuit Design

תכנון מעגלים לתמיכה במחשוב קוואנטי

שם המנחה: יונתן שושן
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

לצורך מערכת מחשוב קוואנטי נדרשים מעגלים אלקטרוניים תומכים רבים הדומים באופיים למערכות תקשורת. מערכות אלו כוללות, בין היתר, מעבדים, זכרונות, ממירי אנלוג-דיגטיל, מגברים, מסננים ועוד. המיוחד במעגלים לצרכי מחשוב קוואנטי הוא שדרוש להפעילם בטמפרטורות נמוכות מאד של 4 מעלות קלווין ואף פחות מכך.

בנוסף, צמצום צריכת ההספק במעגלים אלה הוא קריטי על מנת לעמוד בתקציב הקירור ולצמצם כלל הניתן את ההפרעות למעגלים הקוואנטיים.

מטרת הפרויקט:

בפרויקט זה הסטודנטים ילמדו על מחשוב קוואנטי ועל תכנון מעגלים בטמפרטורות נמוכות מאד. הסטודנטים יבצעו סימולציות, ימדדו מעגלים קיימים, יבצעו השוואות ויציעו דרכים לשיפור ביצועי המעגלים

תכולת הפרויקט:

ביצוע סקר ספרות בנושא מעגלים בטמפ' נמוכות מאד וכן בנושא ביצוע מדידות. תכנון ובדיקה של מעגלים שונים. מדידת ביצועים של שבבים קיימים והסקת מסקנות.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים
  • מעגלים משולבים ספרתיים

דרישות נוספות:

  • מעגלים משולבים אנלוגיים
  • מבוא למחשוב קוונטי

מקורות:

  1. Feynman, R. Simulating Physics with Computers, Int. J. Theor. Phys. 21, 467 (1982).
  2. Beckers, A., Tajalli, A., Sallese JM. A Review on Quantum Computing: Qubits, Cryogenic Electronics and Cryogenic MOSFET Physics. (2019).
  3. B. Patra et al. Cryo-CMOS Circuits and Systems for Quantum Computing Applications, IEEE Journal of Solid-State Circuits, vol. 53, no. 1, pp. 309-321, Jan. 2018. doi: 10.1109/JSSC.2017.2737549
  4. v. Dijk J., Charbon E., Sebastiano F. The electronic interface for quantum processors, microprocessors and Microsystems, vol. 66, pp. 90-101, 2019

222 Cryo-characterization for quantum computing

מידול בטמפרטורות קריוגניות למחשוב קוונטי

שם המנחה: נעם רוקניאן
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

על מנת לממש מחשוב קוונטי, יש ליצור שכבת לוגיקה שתפעל בטמפרטורות קריוגניות (~4.2°K). נכון להיום אין מידול מתאים עבור רכיבים בטמפרטורות אלו. על מנת לתכנן את הלוגיקה הנ"ל בעזרת EDA, יש למדל את הרכיבים וליצור ספריות מתאימות.

מטרת הפרויקט:

למידה על מחשוב קוונטי, התנאים ליצירת מחשב מסוג זה ותכנון של מעגלי מדידה מתאימים.

תכולת הפרויקט:

ביצוע סקירת ספרות על מחשוב קוונטי ומדידות בטמפרטורות קריוגניות. לאחר מכן יבוצע תכנון מעגלי מדידה מתאימים וחיזוי תוצאות מתאימות.

קורסי קדם:

  • מעגלים ספרתיים
  • מעגלים משולבים
  • מבוא למחשוב קוונטי

מקורות:

  1. “Cryogenic Characterization of 22-nm FDSOI CMOS Technology for Quantum Computing ICs”, IEEE ELECTRON DEVICE LETTERS, VOL. 40, NO. 1, JANUARY 2019
  2. “Characterization and modelling of mismatch in Cryo-CMOS”, P. A. ’t Hart, M. Babaie, E. Charbon, A. Vladimirescu, F. Sebastiano

223 Low power design for quantum computing

תכנון מעגלים דיגיטליים דלי הספק עבור חישוב קוונטי

שם המנחה: ענבל סטנגר ויונתן שושן
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

DML הינה טכנולוגיה שפותחה בקבוצה שלנו המשלבת בין לוגיקת cmos ללוגיקה דינאמית ומאפשרת שני מצבי עבודה- אחד לחסכון בצריכת הספק והשני למהירות גבוהה.

לצורך חישוב קוונטי נדרשות טמפרטורות נמוכות, בהן יש שינויים בתפקוד וביצועי המעגל.
נרצה לבחון מימוש בסגנון DML בטמפרטורות נמוכות מאוד (קריוגניקה) שיתאים לשימוש במעגלים קוונטים.

מטרת הפרויקט:

בניית מעגלים בתכנון לוגי חדשני הנקרא DML - Dual mode logic, החוסך באנרגיה וזמן לצורך שימוש עבור חישוב קוונטי בטמפרטורות נמוכות.

תכולת הפרויקט:

ניתוח יחידות אריתמטיות המרכיבות את מעגל החישוב הקוונטי, מימושן באמצעות לוגיקת DML ובדיקה וניתוח הביצועים בסביבה קריוגנית.

קורסי קדם:

  • מעגלים אלקטרונים ספרתיים
  • מעבדה למעגלים אלקטרונים ספרתיים

מקורות:

DML:
  1. N. Shavit, I. Stanger, R. Taco and A. Fish, "Process Variation-Aware Datapath Employing Dual Mode Logic," 2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Burlingame, CA, USA, 2018, pp. 1-3, doi: 10.1109/S3S.2018.8640133.
  2. I. Levi and A. Fish, "Dual Mode Logic—Design for Energy Efficiency and High Performance," in IEEE Access, vol. 1, pp. 258-265, 2013, doi: 10.1109/ACCESS.2013.2262015.
Cryogenic:
  1. B. Patra et al., "Cryo-CMOS Circuits and Systems for Quantum Computing Applications," in IEEE Journal of Solid-State Circuits, vol. 53, no. 1, pp. 309-321, Jan. 2018, doi: 10.1109/JSSC.2017.2737549.
  2. E. Charbon et al., "Cryo-CMOS for quantum computing," 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 13.5.1-13.5.4, doi: 10.1109/IEDM.2016.7838410.
  3. X. Fu, L. Riesebos, L. Lao, C. G. Almudever, F. Sebastiano, R. Versluis, E. Charbon, and K. Bertels. 2016. A heterogeneous quantum computer architecture. In Proceedings of the ACM International Conference on Computing Frontiers (CF ’16). Association for Computing Machinery, New York, NY, USA, 323–330. DOI:https://doi.org/10.1145/2903150.2906827

224 Implementation of DML logic based on Adiabatic logic

שילוב לוגיקה אדיאבטית עם לוגיקת DML

שם המנחה: נתנאל שביט, ענבל סטנגר
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

Dual Mode Logic - DML הינה לוגיקה שפותחה בקבוצה שלנו, המשלבת בין לוגיקת cmos הנפוצה, ובין לוגיקה דינמית, ברמת השער (nand/nor וכדומה).
בלוגיקה זו קיימים שני מצבי פעולה:

  • מצב סטטי- שבו יש שמירת אנרגיה וביצועים נמוכים.
  • מצב דינמי- שבו הביצועים גבוהים אך גם צריכת האנרגיה גבוהה.

שילוב בין המצבים מביא לשיפור הן במהירות הפעולה והן בצריכת ההספק.

אחד העקרונות המרכזיים בלוגיקה אדיאבטית הוא שינוי איטי של מתח הספק, דבר המוביל להורדה משמעותית בצריכת ההספק של המעגל.

מטרת הפרויקט:

בפרויקט זה נרצה לבחון את המימוש של לוגיקת DML על בסיס לוגיקה אדיאבטית, מתוך רצון לשנות את עקומת הenergy-delay של המעגל.

נרצה לממש שערים בסיסיים בתצורה זו, וכן לממש מעגל לוגי.

תכולת הפרויקט:

הסטודנט ילמד על הלוגיקות השונות, יתכנן את השער הבסיסי ויבנה מערכת מורכבת יותר.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים (83308)
  • מעבדה במעגלים אלקטרוניים ספרתיים (83313)

מקורות:

  1. A. Kaizerman, S. Fisher and A. Fish, "Subthreshold Dual Mode Logic," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 21, no. 5, pp. 979-983, May 2013, doi: 10.1109/TVLSI.2012.2198678.
  2. Samik Samanta, "Adiabatic computing: A contemporary review," 2009 4th International Conference on Computers and Devices for Communication (CODEC), Kolkata, 2009, pp. 1-4.

225 Dual Mode Logic for Low Energy and High Performance

לוגיקת DML לביצועים גבוהים וצריכת אנרגיה נמוכה

שם המנחה: ענבל סטנגר ונתנאל שביט
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

Dual Mode Logic הינה לוגיקה שפותחה בקבוצה שלנו, המשלבת בין לוגיקת cmos הנפוצה, ובין לוגיקה דינאמית, ברמת השער (nand/nor וכדומה).

בלוגיקה זו קיימים שני מצבי פעולה:

  • מצב סטטי- שבו יש שמירת אנרגיה וביצועים נמוכים.
  • מצב דינמי- שבו הביצועים גבוהים אך גם צריכת האנרגיה גבוהה.


שילוב בין המצבים מביא לשיפור הן במהירות הפעולה והן בצריכת ההספק. הלוגיקה הראתה תוצאות טובות מאוד בטכנולוגיות שונות. האתגרים בלוגיקה זו רבים. החל מבחינה של גדלי הטרנזיסטורים בכל שער, דרך חיבור בין שערים שונים, ועד יצירת מודולים גדולים ומורכבים יותר. מתוך כך גם קיימות אינסוף אפשרויות למהנדס בתכנון ב-DML, והיכולות בלוגיקה זו רבות.

מטרת הפרויקט:

בפרויקט זה נבחן את לוגיקת DML בארכיטקטורות חדשות ומגוונות.

תכולת הפרויקט:

בחינת מימוש הלוגיקה בטכנולוגיות מתקדמות, בחינת הארכיטקטורות השונות הנפוצות שבהן מימוש הלוגיקה יהיה יעיל ומימוש DML בארכיטקטורות החדשות.

העבודה הינה באמצעות תוכנת הvirtuoso.

קורסי קדם:

  • קורס מעגלים ספרתיים 83-308
  • מעבדה למעגלים ספרתיים 83-315
  • מעגלים משולבים ספרתיים 83-313

מקורות:

מאמר בסיסי המציג את הלוגיקה:

A. Kaizerman, S. Fisher and A. Fish, "Subthreshold Dual Mode Logic," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 21, no. 5, pp. 979-983, May 2013, doi: 10.1109/TVLSI.2012.2198678.
 

226 New Logic Family for High Performance and Low Energy Consumption

פיתוח לוגיקה חדשה לביצועים גבוהים וצריכת אנרגיה נמוכה

שם המנחה: נתנאל שביט וענבל סטנגר
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

Dual Mode Logic הינה לוגיקה שפותחה בקבוצה שלנו, המשלבת בין לוגיקת cmos הנפוצה, ובין לוגיקה דינמית, ברמת השער (nand/nor וכדומה).

בלוגיקה זו קיימים שני מצבי פעולה:

  • מצב סטטי- שבו יש שמירת אנרגיה וביצועים נמוכים.
  • מצב דינמי- שבו הביצועים גבוהים אך גם צריכת האנרגיה גבוהה.

שילוב בין המצבים מביא לשיפור הן במהירות הפעולה והן בצריכת ההספק.

כמו כן קיימים רעיונות נוספים למשפחות לוגיות שיכולות להביא לשיפור בביצועים.

מטרת הפרויקט:

בפרויקט זה ננסה לפתח משפחה לוגית חדשה שתציג ביצועים משופרים.

תכולת הפרויקט:

סקירת המשפחות הלוגיות הקיימות. בחינת אפשרויות שונות לשילוב משפחות לוגיות ברמת השער. ניסיון להוסיף לDML מצב פעולה שלישי של ultra low power. העלאת רעיונות שונים לשיפור.
העבודה באמצעות תוכנת הvirtuoso.

קורסי קדם:

  • מעגלים אלקטרונים ספרתיים
  • מעבדה למעגלים ספרתיים

מקורות:

  1. A. Kaizerman, S. Fisher and A. Fish, "Subthreshold Dual Mode Logic," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 21, no. 5, pp. 979-983, May 2013, doi: 10.1109/TVLSI.2012.2198678.
  2. N. Shavit, R. Taco and A. Fish, "Efficiency of Dual Mode Logic in Nanoscale Technology Nodes," 2018 IEEE International Conference on the Science of Electrical Engineering in Israel (ICSEE), Eilat, Israel, 2018, pp. 1-4, doi: 10.1109/ICSEE.2018.8646267.

 

227 Physical analysis of terahertz quantum cascade laser structures

אנליזה פיזיקאלית של מבני לייזרי קסקדה קוונטים לתחום הטרה הרץ

שם המנחה: דר' אסף אלבו
אחראי/ת אקדמי/ת: דר' אסף אלבו

הרקע לפרויקט:

לייזרי קסקדה קוונטיים מבוססי גליום ארסנייד הינם המקור היעיל ביותר לקרינת טרה-הרץ. עם זאת, טמפרטורת העבודה של לייזרים אלה מוגבלת ואינה עולה על 200 קלווין. בשל כך כדיי להפעיל את לייזרי הקסקדה הקוונטיים לתחום הטרהרץ נדרש לקרר אותם לטמפרטורות נמוכות סביב 150 קלווין.

כדיי לקרר יש צורך לצמד את הלייזרים למקרר המקשה על אינטגרציה של מקורות הקרינה אלה במערכות אלקטרואופטיות.

הפרויקט יעסוק בחקר הביצועים של מבני לייזרי קסקדה קוונטיים לתחום הטרהרץ במטרה להבין את מנגנוני הפעולה שלהם ולאפשר מבנים איתם יהיה אפשר לעבוד בטמפרטורת החדר.

מטרת הפרויקט:

במהלך הפרויקט הסטודנטים יחקרו את ביצועיהם של מבנים שונים של לייזרי קסקדה קוונטים לתחום הטרה-הרץ בעזרת תוכנה ייעודית (נקסט-ננו). לאחר השוואה של הפרמטרים הפיזיקאליים של מספר מבנים שונים, הסטודנטים ימליצו על מבנים חדישים הצפויים לתת ביצועי טמפרטורה משופרים.

תכולת הפרויקט:

בעזרת תוכנה ייעודית (נקסט-ננו) הסטודנטים יבצעו סימולציה של מספר מבנים של לייזרי קסקדה קוונטיים לתחום הטרה-הרץ וייחלצו מהסימולציה של המבנים פרמטרים כמו עקומות זרם-מתח וספקטרום של ההגבר של הלייזר במתחים וטמפרטורות שונות.

קורסי קדם:

  • נטייה לקורסים פיזיקאליים.

דרישות נוספות:

  • הכירות עם תוכנת מטלאב. כישורי מחשב וסימולציה.

מקורות:

https://www.nextnano.com/customer/tutorials.php

228 Analysis of nitride-based terahertz quantum cascade lasers

חקר לייזרי קסקדה קוונטים מבוססי ניטרידים לתחום הטרה הרץ

שם המנחה: אסף אלבו
אחראי/ת אקדמי/ת: דר' אסף אלבו

הרקע לפרויקט:

טמפרטורת העבודה של לייזרי קסקדה קוונטיים מבוססי גליום ארסנייד מוגבלת ואינה עולה על 200 קלווין. אחד הגורמים מגבילים הינה האנרגיה הנמוכה ליצירת פונונים בחומר זה. בגליום ניטריד לעומת זאת אנרגיה זו גבוהה פי שלוש מזו של גליום ארסנייד. בשל כך נחזה שלייזרי קסקדה קוונטיים מבוססי גליום ניטריד יוכלו לעבוד בטמפרטורת החדר. הפרויקט יעסוק בחקר הביצועים של מבני לייזרי קסקדה קוונטיים מבוססי גליום ניטריד לתחום הטרהרץ במטרה לאפשר מבנים איתם יהיה אפשר לעבוד בטמפרטורת החדר.

מטרת הפרויקט:

במהלך הפרויקט בעזרת תוכנה ייעודית (נקסט-ננו) הסטודנטים יחקרו את ביצועיהם של מבנים שונים של לייזרי קסקדה קוונטים מבוססי גליום ניטריד לתחום הטרה-הרץ. לאחר השוואה של הפרמטרים הפיזיקאליים של מספר מבנים שונים, הסטודנטים ימליצו על מבנים הצפויים לתת ביצועי טמפרטורה טובים.

תכולת הפרויקט:

בעזרת תוכנה ייעודית (נקסט-ננו) הסטודנטים יבצעו סימולציה של מספר מבנים של לייזרי קסקדה קוונטיים מבוססי גליום ניטריד לתחום הטרה-הרץ וייחלצו מהסימולציה של המבנים פרמטרים כמו עקומות זרם-מתח וספקטרום של ההגבר של הלייזר במתחים וטמפרטורות שונות.

קורסי קדם:

  • נטייה לקורסים פיזיקאליים.

דרישות נוספות:

  • הכירות עם תוכנת מטלאב
  • כישורי מחשב וסימולציה.

מקורות:

https://www.nextnano.com/customer/tutorials.php

229 Compact Bit Generators

יצרני ביטים רנדומליים

שם המנחה: דוד צוקר זביב
אחראי/ת אקדמי/ת: פרופ' אסנת קרן

הרקע לפרויקט:

הגנות רבות נגד תקיפות הספק על מערכות קריפטוגרפיות דורשות ביטים רנדומיים. השיטה המסורתית לממש יצרנים של ביטים רנדומיים היא לממש אותם בבלוק נפרד לצד הפונקציה הקריפטוגרפית. בהתאם, פותחו שיטות תקיפה משולבות אשר מנסות לנטרל את היצרנים הרנדומיים, במקביל לתקיפת הספק של הפונקציה הקריפטוגרפית.

מטרת הפרויקט:

במסגרת פרויקט זה אנו נפתח יצרני ביטים רנדומיים קטנים אשר יהיו ניתנים למימוש בתוך הפוקנציה הקריפטוגרפית, וכך יקשו על התקיפה והניטרול שלהם. בפרויקט זה יש מקום לפיתוח יצרנים ב־ASIC וב־FPGA, בהתאם למה שמעניין את הסטודנטים.

תכולת הפרויקט:

תכנון חומרתי של יצרן ביטים רנדומיים, מימוש ומדידות (ב־FPGA) או סימולציות (ASIC).

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים
  • אלקטרוניקה לינארית

דרישות נוספות:

  • קורסים מתקדמים בננו (מעגלים משולבים, אנלוג וכו').

מקורות:

D. Zooker, M. Avital, Y. Weizman, A. Fish and O. Keren, "Silicon Proven 1.8umX9.2um 65-nm Digital Bit Generator for Hardware Security Applications," in IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 66, no. 10, pp. 1713-1717, Oct. 2019, doi: 10.1109/TCSII.2019.2929158.

230 Side-Channel attack on cryptographic systems using frequency domain methods

ביצוע התקפות חומרה באמצעות זליגת מידע דרך ערוץ צד באמצעות זיהוי מאפיינים במרחב התדר

שם המנחה: יואב ויצמן
אחראי/ת אקדמי/ת: יואב ויצמן

הרקע לפרויקט:

אלגוריתמים קריפטוגרפים מבוססים על מספר פעולות לינאריות ולא לינאריות המבוצעות על המידע ומפתח סודי. אלגוריתמים אלה נחשבים בטוחים מאוד מבחינה אנליטית, אך נמצא שניתן לפרוץ אותם ביעילות באמצעות התקפות המנצלות זליגת מידע דרך ערוץ צד (כגון מדידת הספק או פליטה אלקטרומגנטית). התקפות המבוססות על מדידת ערוצי הצד, דורשות סנכרון של פעולות ההצפנה בין הכניסות השונות, ולכן, קיימות הגנות המקשות על התוקף לחלץ מידע באופן אפקטיבי.

מטרת הפרויקט:

מטרת הפרוייקט לנתח את המאפיינים המחזוריים בספקטרום האות הנמדד וזיהוי מאפיינים המאפשרים סינכרון של האות הנמדד במערכת המדידה.

תכולת הפרויקט:

הפרוייקט כולל בניית מערכת נסיונית של התקפת הספק על מערכת הצפנה הממומשת על רכיב FPGA וביצוע מדידות של האות הזולג. לאחר המדידה יש לבצע איפיון סטטיסטי של האות תוך סינון רעשים אלגוריתמיים ואקראיים, וחילוץ מאפייני התזמון המאפשרים התקפה. לבסוף נממש מנגנוני הגנה שונים שמטרתם לסכל את ההתקפה ונבחן את יעילותם של מנגנונים אלה כנגד תוקפים המסוגלים לבצע אנליזת תיזמון יעילה.

קורסי קדם:

  • התקפות על מערכות חומרה

231 Using hardware development methodologies to model, analysis of micro/nano-fluidic devices

שימוש במתודולוגיות פיתוח חומרה למידול וניתוח של רכיבים מיקרו/ננו פלואידים

שם המנחה: Noa Edri & Shir Hochwald Liber
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

כחלק ממימוש מערכת תקשורת מולקולרית נדרש להשתמש ברכיבים אשר נעזרים באלקטרונים לשלוט בתנועתם של חלקיקים ביולוגיים.

רכיב מסוג זה הינו ה"טרנזיסטור" המיקרופלואידי.

מטרת הפרויקט:

מטרת הפרוייקט להשתמש במתודולוגיות מתחום החומרה על מנת למדל התנהגות של רכיבים מיקרו/ננו-פלואידים.
בעזרת המודל ניתן יהיה לערוך סימולציה מערכתית של תקשורת מולקולרית.

תכולת הפרויקט:

  • סקר ספרות והכרת הרקע של אלקטרוקינטיקה.
  • שימוש בתוכנת COMSOL על מנת לבנות את הרכיב ולאפיין את התנהגותו.
  • בניית מודל חשמלי עבור הרכיב.
  • שימוש בVIRTUOSO.

קורסי קדם:

  • מעבדה מתקדמת למעגלים ספרתיים.
  • מעבדה למעגלים משולבים.

232 Wireless communication and data transmission of a miniaturized implantable biosensor

תקשורת אלחוטית של חיישן תוך-גופי זעיר להעברת מידע אל מחוץ לגוף

שם המנחה: Shir Hochwald Liber
אחראי/ת אקדמי/ת: פרופ' אלכס פיש, פרופ' רחלה פופובצר

הרקע לפרויקט:

תחום הביו-סנסורים נמצא בחזית המחקר בשנים האחרונות.

פרויקט זה הינו חלק מפרויקט משותף למסלולי הביו-הנדסה והננו-אלקטרוניקה שבמרכזו פיתוח ביו-סנסור כפתרון טכנולוגי חדשני לטיפול בזיהומים חיידקיים.

כ-10 מיליון אנשים ברחבי העולם מתים מידי שנה כתוצאה מעמידות חיידקים לאנטיביוטיקה. עמידות זו יוצרת מצב בו תרופות שהיו בעבר יעילות למחלות חיידקיות נעשות חסרות תועלת.

בשל כך נדרש פתרון טכנולוגי חדשני לטיפול בזיהומים.

בטכנולוגיה המוצעת בפרויקט נעשה שימוש ביכולת של החיידקים לתקשר בינם לבין עצמם באמצעות מולקולות תקשורת האופייניות רק להם (Quorum Sensing), ובכך לקרוא לחיידקים להצטבר במקום מסוים ולחולל מחלה.
מטרת השבב היא לחוש את מולקולות התקשורת המציינות הצטברות חיידקים, ולשבש תקשורת זו כך שהחיידקים לא יתקבצו במקום ובכך למנוע זיהום.

מטרת הפרויקט:

בניית מדגים לביו-סנסור שיוכל לשדר ולהעביר נתונים אל מחוץ לגוף על פעילות חשמלית המתרחשת בקרבת סנסור הנמצא בתוך הגוף ובכך להתריע על הצטברות חיידקים באזור, להפריע לתקשורת ביניהם ולמנוע מחלה.

תכולת הפרויקט:

  • סקר ספרות על טכנולוגיות תקשורת wireless ועל מערכות אלקטרוכימיות.
  • תכנון ואפיון רכיבי מערכות תקשורת wireless עם ביו-סנסור הנמצא בתוך הגוף.
  • הדגמת פונקציונליות התקשורת וביצוע מדידות.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים
  • מעגלים לינאריים

233 Characterization and implementation of dynamic memories in Quantum technology

אפיון ומימוש מערך זכרון דינאמי בטכנולוגיה קוונטית

שם המנחה: אודם הראל
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

רכיבי זכרון הם המרכיב העיקרי בשבבים היום -יומיים שלנו. יחד עם זאת, הטכנולוגיה הקוונטית הינה פורצית דרך ויש המון מחקרים ומירוץ לממש בלוקים בטכנולוגיה זו. בפרוייקט זה, נרצה תחילה לחקור ולאפיין את התנהגויות של רכיבים בטכנולוגיה קוונטית, כלומר בטמפרטורות נמוכות של 4K, ולהסיק מסקנות על מנת לממש זכרונות בטכנולוגיה זו שיהיו יעילים ומשופרים.

מטרת הפרויקט:

מטרת הפרוייקט היא בראשונה להיחשף לעולם הקוונטי החדשני ואטקרטיבי. לאחר מכן נלמד לאפיין ולבדוק את ההשפעה שלו על שערים לוגיים ומשם איך לבנות ארכיטקטורה של מערך זכרון שיעבור בטמפרטורות אלו. נבצע סימולציות ונבנה רכיבים תומכים על מנת להגיע לבלוקים עובדים של זכרון.

תכולת הפרויקט:

סקר ספרות על העולם הקוונטי ועל זכרונות דינאמיים. סימולציות בוירטואוזו של בלוקי זכרון ובניית מערך עם פריפריות מתפקדות. ייתכן גם בדיקה על צ'יפים ממשיים לאפיון הרכיבים.

קורסי קדם:

קורס ומעבדה של ספרתיים, רצוי גם משולבים אך לא חובה.

מקורות:

1 Meinerzhagen, Pascal et al. Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip / Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish. Cham, Switzerland: Springer, 2018. Web.

234 Deep Learning Data Compression

דחיסת מידע למאיצי למידה-עמוקה

שם המנחה: יהודה קרא
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

מאיצי מערכות למידה עמוקה מוגבלות במידה רבה על ידי קצב תעבורת הנתונים ומשתני-החישוב בין המאיץ , הזכרונות, והמעבד. על מנת לייעל תהליך זה נדרשת טכנולוגית דחיסה יעילה מבוססת חמרה המאפשרת דחיסה ופרישה של מידע רב בקצב עיבוד הנתונים

מטרת הפרויקט:

פיתוח פתרון חמרה לדחיסה ופרישה של מידע למידה-עמוקה

תכולת הפרויקט:

  • פיתוח אלגוריתים ייעודי לדחיס יעילה של מערכות למידה-עמוקה
  • פיתוח יחידת חמרה המממשת את האלגוריתים ב VERILOG
  • פיתוח סביבת בדיקה המימוש ליחידה
  • מימוש והדגמת FPGA של היחידה
  • אינטגרציה של היחידה במערכת למידה-עמוקה.

קורסי קדם:

  • תכן לוגי
  • אלגברה ליניארית
  • עקרונות תכנון דיגיטליים

מקורות:

  1. Neural Network Compression Techniques For ML Developers https://analyticsindiamag.com/8-neural-network-compression-techniques-for-machine-learning-developers/
  2. State of the Art in Compressing Deep Convolutional Neural Networks https://medium.com/@nicolas_19145/state-of-the-art-in-compressing-deep-convolutional-neural-networks-cfd8c5404f22

235 Vertical MoS2 component characterization

אפיון רכיב MoS2 אנכי

שם המנחה: מר. יוסי בן נעים
אחראי/ת אקדמי/ת: פרופ' דורון נוה

הרקע לפרויקט:

הפרויקט הולך להתרכז ברכיב שפותח במעבדה של פרופ' דורון נוה, לחבר אותו בקופסת חיבורים ולהריץ מדידות IV בטמפרטורת החדר, לאפיון הרכיב ואז העברתו לרמאן על מנת להשוות את הממצאים והשפעת הלייזר במרחקים שונים ובעוצמות שונות על מנת לקבל את תגובתיות שלו לאור.

מטרת הפרויקט:

המטרה הסופית היא לקבל אפיון של רכיב בעל מבנה יחודי, אשר ישמש כפוטו-דיודה והשוואתו לרכיבים הקיימים כיום עד לכתיבת מאמר.

תכולת הפרויקט:

יש 2 צ'יפים אשר נעבוד עליהם. בשלב א' הסטודנט ישים את הצ'יפ בקופסת מדידה של טמפ' החדר.
בכל צ'יפ יש 44 רכיבים שעליהם הסטודנט יעשה מדידות I/V, ימצא את הפרמטרים הנכונים וכמובן יאתר חיבורים לא תקינים (קצרים/נתקים/לכלוכים) במטרה לקבל yield של 10%

בשלב ב'
הסטודנט ישים את הדוגמאות בלינקאם ויוסיף מתח ביאס. הוא יבנה מפה ברמאן למדידת נקודות שונות במרחקים שונים ובעוצמות הארה שונות של הלייזר לקבלת תגובתיות אור של הרכיבים.

מכאן זה איחוד הdata והסקת מסקנות.

קורסי קדם:

  • יסודות התקני מוליכים למחצה
  • התקני ננו-אלקטרוניקה
  • תהליכי ייצור במיקרו אלקטרוניקה
  • מעגלים משולבים ספרתיים.

דרישות נוספות:

מאמרים בתחום אשר תורמים להבנת מבנה הרכיבים.

מקורות:

  1. Stern, C., Grinvald, S., Kirshner, M. et al. Growth Mechanisms and Electronic Properties of Vertically Aligned MoS2Sci Rep 8, 16480 (2018). https://doi.org/10.1038/s41598-018-34222-z

 

236 Design of an intelligent low power image sensor

תכנון גלאי חכם בהספק נמוך

שם המנחה: יונתן שושן
אחראי/ת אקדמי/ת: פרופ' אלכס פיש

הרקע לפרויקט:

ישנה דרישה הולכת וגוברת לגלאים בעלי יכולות עיבוד תמונה לצרכים מגוונים בנקודות קצה. למשל ליישומי חלל, ערים חכמות, תעשייה ועוד. ע"מ להגיע לביצועים הנדרשים תוך עמידה במגבלות נוקשות של מחיר וצריכת הספק יש לייעל את כל חלקי השרשרת. אחת הדרכים לקדם מטרה זו היא תכנון גלאים אשר צורכים הספק נמוך מאד ואשר הינם בעלי יכולת חישובית מובנית.

מטרת הפרויקט:

תכנון גלאי בעל יכולות קצירת אנרגיה, צריכת הספק נמוכה ויכולות חישוב התומכות בראיית מכונה ורשתות נוירונים.

תכולת הפרויקט:

תכנון רכיבי הגלאי תוך שימוש בTCAD. תכנון מעגלים תומכים וסימולציות בSPICE וMatlab. מימוש הרכיב ומדידה שלו.

קורסי קדם:

  • מבוא להתקני מוליכים למחצה
  • מעגלים משולבים

מקורות:

  1. Assaf, M. “Weight Based Current Assisted Photonic Demodulator - Expansion Towards Time of Flight Applications”, ISCAS 2020
  2. Yadid-Pecht, Orly, Etienne-Cummings, Ralph, CMOS Imagers, Springer, 2004

237 Automation of performance analysis using signal processing methods

אוטומציה של אנליזת ביצועים תוך שימוש בשיטות עיבוד אות

שם המנחה: דר' אדם תימן, גב' אודם הראל
אחראי/ת אקדמי/ת: ד"ר אדם תימן

הרקע לפרויקט:

State of the art networking ICs often employ a packet-processing system with multiple ethernet interfaces. One of the characteristics of such a system is the packet processing rate (Bandwidth). The packet processing is a complex process and the multi-interface nature of the system makes the performance analysis important to optimize the handling process.

This project will include the development of an algorithm that will analyze the data across the multiple interfaces and produce results that can be used for system optimization. The algorithm will utilize different methods of signal analysis (signal filtering, signal correlation, steady state, noise handling) to process the data and extract the relevant results.
Based on the extracted results, the data can be analyzed more accurately and efficiently in order to optimize the system design.

מטרת הפרויקט:

Develop a performance analysis algorithm for a packet processing system that employs signal processing elements to extract relevant and accurate results.

תכולת הפרויקט:

בפרוייקט הזה, הסטודנטים יבצעו את המטלות הבאות:

  • לימוד והבנת מבנה הפקטות ואת התנהגות מערכת שמתחברת לממשקים מרובים
  • הבנת הפרמטרים להערכת ביצועי המערכת ואופן איסופם והערכתם כיום
  • פיתוח אלגוריתם לאנליזה יעילה של המערכת בהתבסס על עקרונות הידועים מתחום עיבוד האות
  • מימוש האלגוריתם בשפת תכנון גבוהה (כדוגמת פייתון)
  • הפעלת האלגוריתם על benchmarks והשוואה לקיים

קורסי קדם:

  • מעגלי ומערכות VLSI דיגיטליים
  • מבוא לעיבוד אותות
  • תכן לוגי

דרישות נוספות:

  • רשתות מחשבים

מקורות:

  1. Barbette, Tom, Cyril Soldani, and Laurent Mathy. "Fast userspace packet processing." 2015 ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS). IEEE, 2015.
  2. Fiessler, Andreas, et al. "Hypafilter+: Enhanced hybrid packet filtering using hardware assisted classification and header space analysis." IEEE/ACM Transactions on Networking 25.6 (2017): 3655-3669.
  3. Sharma, Naveen Kr, et al. "Evaluating the power of flexible packet processing for network resource allocation." 14th {USENIX} Symposium on Networked Systems Design and Implementation ({NSDI} 17). 2017.
  4. Srinivasan, Deepa, and Wu-chang Feng. "Performance analysis of multi-dimensional packet classification on programmable network processors." 29th Annual IEEE International Conference on Local Computer Networks. IEEE, 2004.
  5. Dharmapurikar, Sarang, et al. "Deep packet inspection using parallel bloom filters." 11th Symposium on High Performance Interconnects, 2003. Proceedings.. IEEE, 2003.

פרויקטים נוספים מומלצים

301 Advanced time lenses

עדשות זמן מתקדמות

שם המנחה: ענבר סיבוני
אחראי/ת אקדמי/ת: דר' מוטי פרידמן

הרקע לפרויקט:

עדשות זמן מסוגלות לבצע מניפולציה אולטרה מהירה על אותות קצרים. אך יש עדיין מגבלות רבות לעדשות הזמן שפותחו עד היום.

מטרת הפרויקט:

פיתוח עדשות זמן מתקדמות והדגמתן במעבדה

תכולת הפרויקט:

בפרויקט הסטודנטים ילמדו על אופטיקה זמנית, ואופטיקה לא לינארית ויפתחו עדשות זמן מתקדמות המבוססות על טכנולוגיות קיימות באופטיקה מרחבית

קורסי קדם:

  • אופטואלקטרוניקה התקנים ומערכות
  • לייזרים
  • אופטיקה

דרישות נוספות:

  • קוונטים
  • פיזיקה

מקורות:

  1. Brian H. Kolner and Moshe Nazarathy, "Temporal imaging with a time lens," Opt. Lett. 14, 630-632 (1989)

901 Exponential security with linear cost - design

בטיחות אקספוננציאלית עם עלות ליניארית - תכנון ומימוש

שם המנחה: איתמר לוי
אחראי/ת אקדמי/ת: דר' איתמר לוי

הרקע לפרויקט:

Can be taken from both Cyber/Hardware tracks (comp. eng.) and from Nanoelectronics track (EE).

The project deals with security of cyber/crypto systems when facing adversaries in the physical world. Specifically, it will challenge the architectural aspects and modeling of an advanced randomization technique to protects against side-channel-attacks on electronic devices.

The general idea is to utilize the purpose low-cost power randomization hierarchical technique to defend against such attacks.

The goal would be to provide exponential security with linear cost (area, energy etc.).

We will design, model and simulate the proposed architectures.

מטרת הפרויקט:

This project will work closely with Project 1 on the topic.

This part of the project will focus on the actual circuit building blocks, design, implementation, electronic simulations etc.

תכולת הפרויקט:

  • Design and implement the required circuits
  • Simulate and analyze the results

קורסי קדם:

Base:

  • 83308 מעגלים אלקטרונים ספרתיים
  • 83256 תיאוריה של מערכות ספרתיות
  • 83313 מעגלים משולבים ספרתיים
  • 83612 מעגלים דיגיטאליים ומערכות VLSI

דרישות נוספות:

Bonus:

  • מעגלים אנלוגיים משולבים 83611

מקורות:

Will be given upon personal meeting

902 Sense the sensor and laser, and locality driven design - design

חוש את החיישן או הלייזר ותכנון לוקאלי - תכנון ומימוש

שם המנחה: איתמר לוי
אחראי/ת אקדמי/ת: דר' איתמר לוי

הרקע לפרויקט:

The project deals security of cyber/crypto systems when facing adversaries in the physical world. Specifically, it will challenge a paradigm of sensing electromagnetic EM sensors and fault injection, FI, probes with the best that we have and protecting / providing lock down mechanisms for electronic devices.

The general idea is to utilize for the purpose low-cost sensors for voltage and temperature sensors.

We will evaluate our mechanisms with a professional EM and Laser injection stations.

We will want to design very local sensors to restrict the resolution of the adversary.

מטרת הפרויקט:

This project will work closely with Project 1 on the topic.

This part of the project will focus on the design part of the electronic sensors and simulations (mainly hardware and design).

תכולת הפרויקט:

  • Design and implement the sensing circuits
  • Simulate and evaluate their robustness under various conditions related to the specified attacks

קורסי קדם:

Base:

  • 83308 מעגלים אלקטרוניים ספרתיים
  • 83256 תיאוריה של מערכות ספרתיות
  • 83313 מעגלים משולבים ספרתיים
  • 83612 מעגלים דיגיטאליים ומערכות VLSI

דרישות נוספות:

Bonus:

  • מעגלים אנלוגיים משולבים 83611

מקורות:

will be given upon personal meetings

308 Operation of new computerized tapering rig for drawing various core optical fibers

ב) הפעלת מגדל חדש מבוקר מחשב למשיכת סיבים בעלי ליבות שונות

שם המנחה: פרופ' משה סינואני, מר מאור תפארת
אחראי/ת אקדמי/ת: פרופ' זאב זלבסקי

הרקע לפרויקט:

אנו מפתחים מערכת חדשה למשיכת סיבים אופטיים עם ליבות שונות. הפרוייקט המוצע הוא חלק מפרוייקט גדול יותר של תיכנון ובניית מערכת למשיכת סיבים אופטיים בעלת מעטפת סיליקה (SiO2) עם ליבה של סיליקון (Si). המערכת הוזמנה בחברה חיצונית והפרויקט יתרכז בהקמת המערכת במעבדה שלנו והפעלתה.

מטרת הפרויקט:

כיול תוכנת ההפעלה של מערכת המשיכה, ומשיכת סיב עם ליבת סיליקון.

תכולת הפרויקט:

  • לימוד רקע כללי על סיבים אופטיים ותהליכי ייצורם
  • לימוד התכונות התרמיות הן של הסיליקון והן של הזכוכית בתחום הטמפרטורות שבתהליך המבוצע בטמפרטורה של כ- 1600ºC
  • הכרת הפרמטרים הפיזיקליים הן של הסיליקה והן של הסיליקון.
  • הכרת תכונות לייזר CO2 בו נעשה חימום הגלם לסיב.
  • לימוד מאפייני המערכת, הבנת תהליך הבקרה של משיכת הסיב, וקביעת הפרמטרים הפרמטרים הפיזיקליים שיש להזין בתכנת ההפעלה.
  • לימוד התכונות של המצלמה התרמית המשמשת כחיישן טמפרטורה לבקרת התהליך.

קורסי קדם:

  • מבוא ללייזרים
  • גלאים אופטואלקטרוניים מבוססי מל"מ

דרישות נוספות:

  • תהלכי ייצור במיקרואלקטרוניקה

מקורות:

  1. Ran Aharoni, Liron Bidani, Moshe Sinvani and Zeev Zalevsky, "Initiatory concept of localized CO2 laser-based tapering rig for realization of in-fiber devices", Optical Engineering 51(7) (2012).
  2. U. C. Peak, "Laser Drawing of Optical Fibers", APPLIED OPTICS Vol. 13, No. 6 (1974).

410 Side-Channel attack on cryptographic systems using frequency domain methods

ביצוע התקפות חומרה באמצעות זליגת מידע דרך ערוץ צד באמצעות זיהוי מאפיינים במרחב התדר

שם המנחה: יואב ויצמן
אחראי/ת אקדמי/ת: יואב ויצמן

הרקע לפרויקט:

אלגוריתמים קריפטוגרפים מבוססים על מספר פעולות לינאריות ולא לינאריות המבוצעות על המידע ומפתח סודי. אלגוריתמים אלה נחשבים בטוחים מאוד מבחינה אנליטית, אך נמצא שניתן לפרוץ אותם ביעילות באמצעות התקפות המנצלות זליגת מידע דרך ערוץ צד (כגון מדידת הספק או פליטה אלקטרומגנטית).

התקפות המבוססות על מדידת ערוצי הצד, דורשות סנכרון של פעולות ההצפנה בין הכניסות השונות, ולכן, קיימות הגנות המקשות על התוקף לחלץ מידע באופן אפקטיבי.

מטרת הפרויקט:

מטרת הפרוייקט לנתח את המאפיינים המחזוריים בספקטרום האות הנמדד וזיהוי מאפיינים המאפשרים סינכרון של האות הנמדד במערכת המדידה.

תכולת הפרויקט:

הפרוייקט כולל בניית מערכת נסיונית של התקפת הספק על מערכת הצפנה הממומשת על רכיב FPGA וביצוע מדידות של האות הזולג. לאחר המדידה יש לבצע איפיון סטטיסטי של האות תוך סינון רעשים אלגוריתמיים ואקראיים, וחילוץ מאפייני התזמון המאפשרים התקפה.

לבסוף נממש מנגנוני הגנה שונים שמטרתם לסכל את ההתקפה ונבחן את יעילותם של מנגנונים אלה כנגד תוקפים המסוגלים לבצע אנליזת תיזמון יעילה.

קורסי קדם:

  • התקפות על מערכות חומרה

מקורות:

Meynard O., Réal D., Guilley S., Flament F., Danger JL., Valette F. (2011) Characterization of the Electromagnetic Side Channel in Frequency Domain. In: Lai X., Yung M., Lin D. (eds) Information Security and Cryptology. Inscrypt 2010. Lecture Notes in Computer Science, vol 6584. Springer, Berlin, Heidelberg

105 Developing magnetic devices for manipulating neural network formation in 2D and 3D platforms

פיתוח מערכים מגנטים לשליטה ביצירת רשתות נוירונים דו-ממדיות ותלת-ממדיות

שם המנחה: רעות פלן
אחראי/ת אקדמי/ת: פרופ' אורית שפי

הרקע לפרויקט:

ליכולת לשלוט בארגון המרחבי של רשתות עצבים יש השלכות חשובות ביותר בתחום ההנדסה הביו-רפואית. בניית רשתות עצביות חשובה להבנה טובה יותר של המוח, לפיתוחם של ממשקים עצביים, לשיקום פגיעות עצביות ולטיפול במחלות נוירודגנרטיביות.

לאחרונה פותחה גישה חדשנית להכוונה מקומית של תאים באמצעות הטענתם בחלקיקים מגנטיים. הפיכתם של התאים ליחידות מגנטיות מאפשרת שליטה מרחוק באמצעות הפעלת גרדיאנטים של שדות מגנטים חיצוניים.

מטרת הפרויקט:

מטרת פרויקט זה היא לבנות רשתות עצביות דו-ממדיות ותלת-ממדיות באמצעות הכוונת התאים לאזורי מטרה רצויים. לשם כך, נתכנן מערכי מגנטים המבוססים על מודלים של שדות מגנטיים אשר יובילו ליצירת מוקדים מגנטיים. בהמשך, נייצר את המערכים ע"י הדפסת תלת ממד וע"י שיטת פוטוליטוגרפיה. בעזרת השדות המגנטיים נוכל למקם תאי עצב טעונים בננו-חלקיקים מגנטיים ולעודד את התמיינותם, מה שיוביל לייצור מבוקר של רשתות עצביות.

תכולת הפרויקט:

  • לימוד תאורטי וקריאה בספרות של מחקרים דומים
  • תכנון ויצור מערכי מגנטיים בשיטת פוטוליטוגרפיה והדפסת תלת ממד
  • סימולציה ומידול של שדות מגנטיים
  • טיפול בתאים על גבי המערכים השונים ומעקב אחר התארגנות התאים, כולל ניתוח כמותי של מבנה הרשתות

קורסי קדם:

  • קורס שדות
  • ידע בתוכנות COMSOL או OOMMF – יתרון

מקורות:

  1. Marcus, M., Karni, M., Baranes, K. et al. Iron oxide nanoparticles for neuronal cell applications: uptake study and magnetic manipulations. J Nanobiotechnol 14, 37 (2016).
  2. Marcus, M., Smith, A., Maswadeh, A., Shemesh, Z., Zak, I., Motiei, M., Schori, H., Margel, S., Sharoni, A., & Shefi, O. (2018). Magnetic Targeting of Growth Factors Using Iron Oxide Nanoparticles. Nanomaterials (Basel, Switzerland), 8(9), 707. 

106 Developing a 3D printer for hydrogels and cells

בניית מדפסת תלת מימד להדפסה של הידרוג'לים משולבי תאים

שם המנחה: Alon Richter Levin
אחראי/ת אקדמי/ת: פרופ' אורית שפי

הרקע לפרויקט:

מערכת העצבים מתחלקת לשני חלקים, מערכת העצבים המרכזית הכוללת את המוח ועמוד השדרה ומערכת העצבים ההיקפית שכוללת את העצבים ההקפית שמעבירה מידע מהגוף ושולטת בשרירים. שיקום עצבי אפשרי רק במערכת העצבים ההקפית וגם בה הוא מוגבל מאוד. במעבדה אנו מנסים לשפר ולייעל את תהליך השיקום העצבי.
אחד הפתרונות שמנסים לפתח במעבדה הוא הידרוג'לים מבוססי חלבון קולגן (הקולגן הוא החלבון הנפוץ ביותר בסביבה החוץ תאית ובגלל זה משתמשים בו ליצירת סביבה מלאכותית שמתאימה לגדילת נוירונים) שיהיה ניתן להזריק ישירות לאתר הפגיעה בעצב וישמש כפלטפורמה תומכת לשיקום. הכנת מבנים מתאימים תלת מימדיים שישתלבו עם הגוף בזמן פגיעה ויעודדו שיקום הם אתגר.

מטרת הפרויקט:

תכנון ובנייה של ביו-מדפסת תלת מימדית אשר תוכל להדפיס מבני קולגן ותאים למבנים בצורות שונות

תכולת הפרויקט:

הפרויקט יכלול תכנון ובניית מערכת הדפסה תלת מימדית של קולגן תוך בקרה בפרמטרים שונים, הדפסה של מבנים מתאימים לשיקום עצבי, ניתוח שיקולים של תאימות לרקמה, הדפסה משולבת חומרים/תאים. הפרויקט מבוסס על מודל ראשוני שפותח במעבדה שיכול להזריק בצורה אוטומטית חומרים שונים בכמויות שונות ולהזריק לתוך תבניות יציקה. בפרויקט הזה המטרה היא לפתח את המערך להדפסה תלת מימדית תוך שליטה ממוחשבת בתזוזה בשלושה מימדים.

קורסי קדם:

  • תוכנה

דרישות נוספות:

  • סטודנטים סקרנים ובעלי מוטיבציה אשר מעוניינים לבצע מחקר אשר משלב בתוכו פיתוח מערכת הנדסית תוך לקיחת שיקולים לממשק עם מערכות ביולוגיות.

מקורות:

  1. Large Volume Extruder (LVE) for 3D Printing

  2. Large volume syringe pump extruder for desktop 3D printers Kira Pusch, Thomas J. Hinton  et al. HardwareX, 3, 4 2018

316 Leaky Wave Antenna (LWA) in a Metamaterial Structure and their usage in plasmonic in-chip communication

תכנון של אנטנות של גלים זולגים למבנים ננו מטריים

שם המנחה: זאב זלבסקי
אחראי/ת אקדמי/ת: פרופ' זאב זלבסקי

הרקע לפרויקט:

Previous work demonstrated adjustment of various large scale antennas design for the field of plasmonics in general and specifically in order to establish plasmonic wireless communication in chips to replace interconnects. Here The purpose of the project is to develop a Composite Right-Left Hand (CRLH) LWA and to investigate its incorporation with light-plasmons conversion.

מטרת הפרויקט:

The purpose of the project is to develop a Composite Right-Left Hand (CRLH) LWA and to investigate its incorporation with light-plasmons conversion.

תכולת הפרויקט:

The project will consist of four stages:

  1. Understanding of the electromagnetic behavior of LWA’s and the physics metamaterials.
  2. Design a design computer model of the CRLH LWA using proper numerical tools such as CST or HFSS.
  3. Building a prototype.
  4. Measure the prototype and compare it to the simulated results.

קורסי קדם:

  • שדות אלקטרו מגנטיים

מקורות:

  1. M. Cohen, R. Shavit, and Z. Zalevsky, “Enabling High Efficiency Nanoplasmonics with Novel Nanoantenna Architectures,” Sci. Rep., Nature Publishing Group 5, Article number 17562 (2015).
  2. M. Cohen, Y. Abulafia, D. Lev, A. Lewis, R. Shavit and Z. Zalevsky, “Wireless Communication with Nanoplasmonic Data Carriers: Macroscale Propagation of Nanophotonic Plasmon Polaritons Probed by Near Field Nanoimaging,” Nano Lett. 17(9), 5181-5186 (2017).

107 Quorum Sensing Biochip for Microbial Diagnosis and Therapy

פיתוח צ'יפ ביולוגי לאבחון וטיפול באוכלוסיות חיידקים בגוף האדם

שם המנחה: מריאנה בידרמן
אחראי/ת אקדמי/ת: פרופ' רחלה פופובצר

הרקע לפרויקט:

תחום הביו-סנסורים נמצא בחזית המחקר בשנים האחרונות.

פרויקט זה הינו חלק מפרויקט משותף למסלולי הביו-הנדסה והננו-אלקטרוניקה שבמרכזו פיתוח ביו-סנסור כפתרון טכנולוגי חדשני לטיפול בזיהומים חיידקיים.
כ-10 מיליון אנשים ברחבי העולם מתים מידי שנה כתוצאה מעמידות חיידקים לאנטיביוטיקה. עמידות זו יוצרת מצב בו תרופות שהיו בעבר יעילות למחלות חיידקיות נעשות חסרות תועלת.בשל כך נדרש פתרון טכנולוגי חדשני לטיפול בזיהומים.

בטכנולוגיה המוצעת בפרויקט נעשה שימוש ביכולת של החיידקים לתקשר בינם לבין עצמם באמצעות מולקולות תקשורת האופייניות רק להם (Quorum Sensing), ובכך לקרוא לחיידקים להצטבר במקום מסוים ולחולל מחלה.

מטרת השבב היא לחוש את מולקולות התקשורת המציינות הצטברות חיידקים, ולשבש תקשורת זו כך שהחיידקים לא יתקבצו במקום ובכך למנוע זיהום.

מטרת הפרויקט:

בניית מדגים לביו-סנסור שיוכל לשדר ולהעביר נתונים בזמן אמת על פעילות חשמלית המתרחשת בקרבת סנסור הנמצא בתוך הגוף ובכך להתריע על הצטברות חיידקים באזור, להפריע לתקשורת ביניהם ולמנוע מחלה.

תכולת הפרויקט:

  • סקר ספרות על מערכות ה Quorum-SensingוהQuorum-Quenching בחיידקים.
  • ביצוע מדידות במערכת תאים אלקטרוכימיים לזיהוי אותות תקשורת בין חיידקים Quorum-Sensing, ותרגומם לסיגנל חשמלי.
  • אימות ואפיון הסיגנל החשמלי המתקבל.
  • אנליזה של נתוני המדידות והגדרת סיגנל קריטי בו דרוש שחרור פעילות אנזימטית לטיפול באוכלוסיית החיידקים.

קורסי קדם:

  • מעגלים אלקטרוניים ספרתיים
  • ביו-סנסורים