פרויקטי גמר - התמחות בננו-אלקטרוניקה, מעגלים ו-VLSI תשפ"ה

201 Design of advanced DRAM architecture for low power applications
אחריות אקדמית:

הרקע לפרויקט:

כיום רוב השטח של הצ'יפים אותם אנו רואים בכל מכשיר אלקטרוני יום-יומי מנוצל על ידי הזכרונות, לכן יש להם חשיבות רבה וקריטית כאשר מתכננים אותם. לשם כך, מנסים תמיד לפעול להקטנת שטחם, הקטנת צריכת ההספק שלכם ולייעל את הפונקציונליות. פרמטרים רבים נלקחים בחשבון הן ברמת ההתקן הבודד וגם למעלה בהיררכיית מימוש המאקרו של הזכרון.

מטרת הפרויקט:

תכנון ארכיטקטורה של זכרון יחד עם הפריפריות הנלוות אליו תוך כדי התחשבות בפרמטרים שיביאו לצמצום בשטח, הספק ומהירות רבה של הבלוק. ניצור ברמת ההתקן את הזכרון הדיגיטלי יחד עם הפריפריות השונות שנצטרך על מנת להפעיל את הזכרון לקריאה וכתיבה נכונה. נלמד על עקרונות תכנון בעולם ה VLSI, אשר בצמיחה אדירה בימנו.

תכולת הפרויקט:

בפרוייקט זה, הסטודנטים יעבדו עם מערכת virtuoso בה הם יבנו את המעגל ברמה הלוגית לסימולציות על המערך ולאחר מכן יצירת לייאוט לארכיטקטורה. תחילה, ידרשו לתכנן איך יעבוד הזכרון ואילו פריפריות יידרשו על מנת ליצור בלוק יעיל ומשופר שיפיעלו את הזכרון.

קורסי קדם:

מעגלים משלובים או/ו מעגלים ספרתיים (יחד עם המעבדה)

דרישות נוספות:

ידע ב virtuoso

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip - book

202 Memory circuit design for quantum chip applications
אחריות אקדמית:

הרקע לפרויקט:

נעבוד עם טכנולוגיה ורעיונות תכנן זכרונות שמותאמים לתנאים של פיתוח מערכות קוונטיות.

מטרת הפרויקט:

המטרה היא ליצור מערך זכרון DRAM אשר מותאם בתפקודו לעבודה בתנאים של טמפרטורות נמוכות (תנאי עבודה קוונטי). בכך יהיה אפשר להטמיע את הזכרון למערכות משולבות של מחשוב קוונטי.

תכולת הפרויקט:

נחקור ונתכן זכרון מתאים לתנאי עבודה שונים, נבין מחדש מה הדרישות ונערוך סימולציות בשביל להגיע לתפקוד אופטימאלי של הזכרון בתנאים קיצוניים.

קורסי קדם:

מעגלים משלובים או/ו מעגלים ספרתיים (יחד עם המעבדה)

דרישות נוספות:

ידע ב virtuoso

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip - book

203 eDRAM circuit design for high performance chip integration
אחריות אקדמית:

הרקע לפרויקט:

זכרונות הם חלק עיקרי בצ'יפים היום ולכן נדרש תכנון רב בשביל לממש זכרון יעיל ביותר מבחינת שטח, מהירות וצריכת הספק. יש הרבה עבודה היום על למצוא ארכיטקטורה משופרת למאקרו של זכרונות.

מטרת הפרויקט:

המטרה היא לבנות זכרון דינאמי מהיר יותר אשר יהיה מותאם לאפליקציות שונות - כלומר לעבוד בתנאים שונים כגון טמפרטורה. הפרוייקט ננסה לחשוב על זכרון יעיל ולממש אותו לרכיב IP שלם ומוכן, תוך התחשבות בפרמטרים השונים המשפיעים עליו ועל היכולות שלו.

תכולת הפרויקט:

נחקור זכרונות קיימים היום ונתכנן מערך זכרון ייחודי ומותאים לדרישות שלנו. נבצע סימולציות מקיפות הרלוונטיות להבנת ההשפעות על זכרונות בצ'יפים שקיימים היום בשביל לשפר את הביצועים. זה ייעשה במערכת וירטואוזו.

קורסי קדם:

מעגלים משלובים או/ו מעגלים ספרתיים (יחד עם המעבדה)

דרישות נוספות:

ידע ב virtuoso

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip - book

204 Macro-architecture design for novel memory integration in chip
אחריות אקדמית:

הרקע לפרויקט:

בעולם הטכנולוגי של היום, בו צ'יפים שולטים בכל אמצעי חישובי, נעשית עבודה רבה על ייעול ושיפור של זכרונות השולטים ברוב השטח וצריכת ההספק של צ'יפים אלו. בפרוייקט זה נעבוד בטכנולוגיות חדישות בהם משתמשים בתעשייה ונתכנון זכרון משופר - קטן יותר, מהיר יותר וצורך פחות הספק, אשר נותן מענה לאפליקציות ספציפיות במערכת.

מטרת הפרויקט:

המטרה היא להגיע לארכיטקטורה של זכרון מוגמר אשר מכיל את הפריפריות הנרדשות לתפעולו ובעל יתרונות רבים על זכרונות בהם משתמשים היום. במהלך העבודה נלמד על מושגים החשובים בתעשייה ועל דרך החשיבה של תכנון מאקרו בגודל זה מכמה כיוונים שונים.

תכולת הפרויקט:

בפרוייקט זה נחקור ונסמלץ פעולות זכרון על מנת להגיע למערך עובד ואידיאלי. נחשוב על דרכי פתרון למימוש אפליקציות חדשות שיכולות לשפר את תפקוד הזכרון.

קורסי קדם:

מעגלים משלובים או/ו מעגלים ספרתיים (יחד עם המעבדה)

דרישות נוספות:

ידע ב virtuoso

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip - book

205 Hardware Accelerating for Machine Learning
אחריות אקדמית:

הרקע לפרויקט:

רקע לפרויקט: הרחבת חומרת מעבד להאצת אפליקציותמכונה לומדת.
עולם הטכנולוגיה מתפתח בקצב מסחרר, ועימו צומחים הביקושים לחישובים עוצמתיים יותר ויותר. אפליקציות למידת מכונה על מעבדי קצה - חישבוים שנעשים על מטריצות גודולות וחוזרות בלולאות שוב ושוב , מה שצורך הרבה אנרגיה וזמן עיבוד, מה שעלול להוביל לצווארי בקבוק ולפגוע בביצועים. הפרויקט "הרחבת חומרת מעבד להאצת אפליקציות עתירות חישוב" נועד להתמודד עם אתגר זה. מטרת הפרויקט היא לפתח פתרון חומרה חדשני המותאם במיוחד לצרכים של אפליקציות מערכות למידת מכונה. פתרון זה יאפשר להאיץ משמעותית את ביצועיהן של אפליקציות אלו תוך הפחתת צריכת האנרגיה והזמן הנדרשים.

הצורך בפתרון חומרה חדשני נובע מכמה גורמים:
האלגוריתמים המשמשים באפליקציות מערכות למידת מכונה מורכבים ודושים חישובים רבים על מטריצות גדולות , חישובים שלעיתים מורכבים שאינם ניתנים לביצוע בצורה יעילה על ידי מעבדים כלליים. אפליקציות אלו צריכות להיות זמינות ומהירות. עיכובים בזמן התגובה עלולים לפגוע משמעותית בחווית המשתמש ואף להוביל להפסדים כספיים.
צריכת האנרגיה של מעבדים כלליים הולכת וגדלה. הדבר גורם לחששות בנוגע להשפעה הסביבתית של טכנולוגיות אלו ולעלויות התפעול שלהן.

פתרון חומרה חדשני משולב עם מעבד קיים יוכל להתגבר על אתגרים אלו על ידי:
פיתוח ארכיטקטורת מעבד ייעודית המותאמת לצרכים ספציפיים של אפליקציות מערכות למידת מכונה. ארכיטקטורה זו תאפשר לנצל בצורה יעילה יותר את משאבי החישוב ותביא לשיפור משמעותי בביצועים.
פיתוח רעויונות חומרה\מקביליות חדשות להעלאת רמת בחישובים , ביחד עם מחשבה על חיסכון באנרגיה\בשטח. טכנולוגיות אלו יאפשרו להפחית את צריכת האנרגיה של המעבד תוך שמירה על ביצועים גבוהים. פיתוח ממשקים ותוכנות המאפשרים אינטגרציה חלקה של פתרון החומרה עם מערכות קיימות.
הפרויקט צפוי להוביל לפיתוח פתרון חומרה חדשני בעל השפעה משמעותית על עתיד הטכנולוגיה. פתרון זה יאפשר להאיץ את פיתוחן של אפליקציות מערכות למידת מכונה חדשות ומתקדמות, ויפתח אפשרויות חדשות בתחומים רבים, כגון:

מטרת הפרויקט:

המטרה לכתוב חומרה שתאיץ Deep learning Inference

תכולת הפרויקט:

למידה של קוד\אלגוריתם inference על מעבד קצה
ניתוח הקוד ומציאת הזמניות להאצה בחומרה
כתיבת קוד חומרה (ווירולוג like) - לבלוקים של חורה לחישוב מקבלי
התאמת קוד\אלגוריתם inference לחומרה החשה
סימולציות ובחינת ביצועים , תהליך איטרטיבי לשיפור החורה\תוכנה עד להשגת האצה משמעותית

קורסי קדם:

הפרויקט הוא בתחום של חומרת מחשב, ארכיטקטורת מחשבים , כתיבת קוד יעיל. הוא משלב יכולת ורצון להתפתח במספר נושאים משולבים בתחום המעבדים .
קורס ארכיטקטורת מחשבים , תכן לוגי , חומרה\תוכנה , אסמבלר

דרישות נוספות:

הפרויקט הוא בתחום של חומרת מחשב, ארכיטקטורת מחשבים , כתיבת קוד יעיל. הוא משלב יכולת ורצון להתפתח במספר נושאים משולבים בתחום המעבדים . על הסטודנט להרגיש נוח עם הנושאים הנ"ל, ורצון וסקרנות ללמודה ולהתמחות בהם

מקורות:

  1. https://projects.iq.harvard.edu/files/rvmlpu-barc-2020.pdf
  2. https://www.mdpi.com/2078-2489/14/2/64 search for 'A Survey on RISC-V-Based Machine Learning Ecosystem'
206 Processor Extension for Cryptogphy algorithm
אחריות אקדמית:

הרקע לפרויקט:

הרחבת חומרת מעבד להאצת של אלגוריתם הצפנה !
אפליקציות הצפנה על מעבדי קצה לוקחת הרבה זמן ריצה ומקום בזכרון. בדרךך כלל החישובים נעשים ב ROUNDS עם פעולות ארטימיות אחת אחרי השניה מה שצורך הרבה אנרגיה וזמן עיבוד, מה שעלול להוביל לצווארי בקבוק ולפגוע בביצועים. הפרויקט "הרחבת חומרת מעבד להאצת של אלגוריתם הצפנה" נועד להתמודד עם אתגר זה. מטרת הפרויקט היא לפתח פתרון חומרה חדשני המותאם במיוחד לצרכים של אפליקציות הצפנה . פתרון זה יאפשר להאיץ משמעותית את ביצועיהן של אפליקציות אלו תוך הפחתת צריכת האנרגיה והזמן הנדרשים.

מטרת הפרויקט:

התוצר של הפרויקט הוא חומרה משולבת עם מעבד, סט הוראות חדש ומקבילי להאצת אלגוריתם נתון (אחד או יותר) . הפרויקט יכולול כתיבה של חומרה ותוכנה וזה יהיה חלק מהתוצרים. בנוסף אנליזה מקיפה של

תכולת הפרויקט:

אנליזה של אלגוריתם הצפנה - ומציאת הזמנות להאצה מקבילית
כתיבת חומרה מתאימה ואינטגרציה לתוך מעבד
כתיבת תוכנה אופטמילית לעבודה עם חומרה נוספת
אפייון התוצאות , אופטמזיצה של תוכנה וחומרה לפתרון סופי

קורסי קדם:

הפרויקט הוא בתחום של חומרת מחשב, ארכיטקטורת מחשבים , כתיבת קוד יעיל. הוא משלב יכולת ורצון להתפתח במספר נושאים משולבים בתחום המעבדים . על הסטודנט להרגיש נוח עם הנושאים הנ"ל, ורצון וסקרנות ללמודה ולהתמחות בהם

דרישות נוספות:

סקרנות ורצון ללמוד ולהגיע לפתרון משמעותי , דבר שיגרור בעקבותיו מאמר אקדמי והשתתפות במחקר רחב יותר

מקורות:

https://eprint.iacr.org/2024/059

לחפש את המאמר
CrISA-X: Unleashing Performance Excellence in Lightweight Symmetric Cryptography for Extendable and Deeply Embedded Processors

207 Real-time Malware Detection Using Hardware Performance Counters on Embedded Systems
אחריות אקדמית:

הרקע לפרויקט:

This project aims to develop a hardware-based malware detection system for embedded systems, specifically targeting low-power, resource-constrained platforms like RISC-V processors. By leveraging the rich information provided by hardware performance counters (HPCs), we will create a robust and efficient system capable of identifying malicious software in real-time.
Problem Statement Existing malware detection methods often rely on software-based techniques that are susceptible to evasion and have limited performance on resource-constrained platforms. Additionally, there is a lack of research focusing on hardware-based malware detection specifically for small, embedded processors.

Project Objectives
• Develop a comprehensive methodology for selecting and utilizing HPC events to characterize software behavior.
• Design and implement a real-time malware detection system capable of operating on resource-constrained platforms.
• Achieve high detection accuracy and low false positive rates.
• Explore the feasibility of on-the-fly hardware acceleration for performance optimization.

מטרת הפרויקט:

  • Expected Outcomes
  • A novel malware detection system based on HPC analysis for embedded systems.
  • A comprehensive dataset of HPC data for benign and malicious software on target

תכולת הפרויקט:

למידה של תחום המעבדים , מונים-preformance couters של מעבדים , אפיון וכתיבת קוד. תהליך קורלציה בעזרת רשתות ידועות של נתונים . הפריקט דורש עבודה במעבדה, מול SETUP של חומרה אמיתית. לקיחת מדידות , אפיון והסקת מסקנות. כתיבת קוד התקפה (המדמה התקפה אמיתית)

קורסי קדם:

הפרויקט הוא בתחום של חומרת מחשב, ארכיטקטורת מחשבים , כתיבת קוד התקפה . הוא משלב יכולת ורצון להתפתח במספר נושאים משולבים בתחום המעבדים ואנליזה של נתונים בעזרת רשתות לומדות.. על הסטודנט להרגיש נוח עם הנושאים הנ"ל, ורצון וסקרנות ללמודה ולהתמחות בהם - רשון להשקיע ועבודה במעבדה .

מקורות:

search for article call :

  1. "Hardware-Based Detection of Stack Buffer Overflow Attacks on RISC-V"
  2.  "A survey of hardware based malware detection approach"
208 Design and Integration of refresh scheme for embedded DRAM
אחריות אקדמית:

הרקע לפרויקט:

זכרון דינמאי סובל מבעיה של זליגת מידע, בניגוד לSRAM . לכן נדרש לבצע פעולות רענון בזכרון על מנת לשמר את המידע. פעולת רענון זו צורכת הספק, גוזלת זמן גישה לזכרון ועולה בשטח מהארכיטקטורה השלמה של הזכרון ולכן היא מהווה נקודה למחקר.

מטרת הפרויקט:

המטרה הפרוייקט היא לפתח מנגון רענון חכם ויעיל, שישולב בזכרון הדינאמי .

תכולת הפרויקט:

הפרוייקט נעבוד על וירטואוזו, תחילה נבין איך עובד הזכרון הדינאמי ונבצע סימולציות רבות סביב התא הבודד. לאחר מכן נעבור לרמה של המאקרו כולו של הזכרון ונממש מסלול כתיבה וקריאה מהזכרון אליו נשלב לבסוף את מנגנון הרענון.

קורסי קדם:

מעגלים משלובים או/ו מעגלים ספרתיים (יחד עם המעבדה)

דרישות נוספות:

ידע ב virtuoso

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip - book

209 High Entropy TRNG generator with FPGA and ASIC testing
אחריות אקדמית:

הרקע לפרויקט:

פרויקט זה מתמקד במימוש יעיל של יצרנים רנדומיים פיסיקאליים עבור אפליקציות שדורשות תפוקה רנדומית גדולה בעלות אנרגטית נמוכה. בימינו, לאבטחת המידע המעובד על ידי חומרה (ASICS, FPGAs, uCs), ובנוסף לצורך של מנגנונים קריפטוגרפים סטנדרטיים (סודיות, אימות וכו'..) קיים צורך להגן על המימוש החומרתי של המערכת כנגד חילוץ מידע מסווג שאגור/מעובד ברכיבים. כל צרכים אלו וההגנות המדוברות (על מגוון הספקטרום) דורשות תפוקת ביטים רנדומיים גדולה מאוד בעלות נמוכה. בפרויקט זה אנו נממש יצרנים רנדומיים המסתמכים על עקרונות פיסיקליים, נמדל אותם, נבחן אותם במקרי קצה שונים, נממש מנגנוני פיצוי למקרי קצה אלו ובתקווה נבחן על פלטפורמת FPGA ונייצרם בטכנולוגיית ASICs (שניהם רלוונטיים מאוד לעולם הרכיבים ולאפליקציות IoT וכו'). המטריקות שנשתמש בהם הם אנטרופיה מינימאלית, תפוקה עלות אנרגטית לביט וכו'.
*דגש: המידע שניתן כאן מוגבל מפאת אבטחת-מידע למידע מפורט נא לגשת אלי

מטרת הפרויקט:

מימוש מודל לארכיטקטורה (שאנו מציעים), סימולציה בכלי ניתוח מעגלים \ מדידות על FPGA והוכחת ייתכנות משמעותית.

תכולת הפרויקט:

- למידת מנגנונים קיימים.
- מימוש מנגנונים משופרים.
- מימוש לוגיקת פיצוי.
- (אופציונלית) ייצור הרכיבים.
- מדידת ביצועים (אם לא יוצר על פלטפורמת FPGA).
- ביצוע ניתוח סטטיסטי.
- לבסוף, פרויקט מוצלח יזכה לייצר את הרכיבים ולפרסם עבודות בכתבי עת.

קורסי קדם:

לא חובה אך ידע שיכול לעזור \ להוות ייתרון:
כלים נדרשים וקורסי קדם:
- 83004 תכן חומרה בשפת VERILOG
- 83253 תכן לוגי.
כלים נדרשים שילמדו תוך כדי הפרויקט אם אין ידע קודם:
- כלים בסיסיים מתורת האינפורמציה
- 83458 מבוא לקרפיטוגרפיה
- Synthesis tools and use ./FPGA

דרישות נוספות:

לא חובה אך ידע שיכול לעזור \ להוות ייתרון:
כלים נדרשים וקורסי קדם:
- 83004 תכן חומרה בשפת VERILOG
- 83253 תכן לוגי.
כלים נדרשים שילמדו תוך כדי הפרויקט אם אין ידע קודם:
- כלים בסיסיים מתורת האינפורמציה
- 83458 מבוא לקרפיטוגרפיה
- Synthesis tools and use ./FPGA

מקורות:

  1. Yang, Bohan, et al. "ES-TRNG: A High-throughput, Low-area True Random Number Generator based on Edge Sampling." IACR Transactions on Cryptographic Hardware and Embedded Systems (2018): 267-292
  2. Klein, Netanel, Eyal Harel, and Itamar Levi. "The cost of a true random bit—On the electronic cost gain of ASIC time-domain-based TRNGs." Cryptography 5.3 (2021): 25.
  3. Levi, Itamar, Davide Bellizia, and François-Xavier Standaert. "Tight-ES-TRNG: Improved Construction and Robustness Analysis." SN Computer Science 3.4 (2022): 321.
210 Physical analysis of terahertz quantum cascade laser structures
אחריות אקדמית:

הרקע לפרויקט:

לייזרי קסקדה קוונטיים מבוססי גליום ארסנייד הינם המקור היעיל ביותר לקרינת טרה-הרץ. עם זאת, טמפרטורת העבודה של לייזרים אלה מוגבלת ואינה עולה על 200 קלווין. בשל כך כדיי להפעיל את לייזרי הקסקדה הקוונטיים לתחום הטרהרץ נדרש לקרר אותם לטמפרטורות נמוכות סביב 150 קלווין. כדיי לקרר יש צורך לצמד את הלייזרים למקרר המקשה על אינטגרציה של מקורות הקרינה אלה במערכות אלקטרואופטיות. הפרויקט יעסוק בחקר הביצועים של מבני לייזרי קסקדה קוונטיים לתחום הטרהרץ במטרה להבין את מנגנוני הפעולה שלהם ולאפשר מבנים איתם יהיה אפשר לעבוד בטמפרטורת החדר.

מטרת הפרויקט:

במהלך הפרויקט הסטודנטים יחקרו את ביצועיהם של מבנים שונים של לייזרי קסקדה קוונטים לתחום הטרה-הרץ בעזרת תוכנה ייעודית (נקסט-ננו). לאחר השוואה של הפרמטרים הפיזיקאליים של מספר מבנים שונים, הסטודנטים ימליצו על מבנים חדישים הצפויים לתת ביצועי טמפרטורה משופרים.

תכולת הפרויקט:

בעזרת תוכנה ייעודית (נקסט-ננו) הסטודנטים יבצעו סימולציה של מספר מבנים של לייזרי קסקדה קוונטיים לתחום הטרה-הרץ וייחלצו מהסימולציה של המבנים פרמטרים כמו עקומות זרם-מתח וספקטרום של ההגבר של הלייזר במתחים וטמפרטורות שונות.

קורסי קדם:

נטייה לקורסים פיזיקאליים.

דרישות נוספות:

הכירות עם תוכנת מטלאב. כישורי מחשב וסימולציה.

מקורות:

https://www.nextnano.com/customer/tutorials.php

211 Secrets Extraction From SRAM Memory Using Deep Neural Networks
אחריות אקדמית:

הרקע לפרויקט:

על ידי עירור תאי זכרון בעזרת לייזר אנו גורמים לתגובה ברשת החשמלית של ספק המתח לטרנזיסטורים המרכיבים תא זכרון. את תגובת המתח (ערוץ צד) אנו דוגמים ומזינים לרשת נוירונים שתמצא מה הערך האגור בכל תא זכרון.

מטרת הפרויקט:

התוצר הסופי יהיה רשת נוירונים אשר מסוגלת לקלוט דגימות מתח או זרם אשר נוצרו ע״י עירור בלייזר ולפלוט, בהסתברות כלשהי, איזה ערך אגור בתא הזכרון שהותקף.

תכולת הפרויקט:

הכרה של רשתות נוירונים, יישום רשת נוירונים DNN\CNN אשר תקבל סט דאטה ותאפשר קלאסיפיקציה של תאי זכרון על פני טווח ניסויים ופרמטרים.

קורסי קדם:

מעגלים חשמליים, מל״מ, תכנות

דרישות נוספות:

מעגלים חשמליים, מל״מ, תכנות

מקורות:

Laser Fault Injection (LFI), Laser Logic State Imaging (LLSI), Deep Neural Networks (DNN), Convolutional Neutral Networks (CNN)

212 A demonstrator of an iontronic system operates by RF energy harvesting
אחריות אקדמית:

הרקע לפרויקט:

רכיבים אשר מבוססים על ננו תעלות
(רכיבים ננופלואידיים) שולטים בעזרת אות חשמלי בתנועתם של מולקולות טעונות. לאחרונה הראו שניתן לממש רכיבים כמו דיודות וטרנזיסטורים למימוש של מעגלים הדומים בהתנהגותם למעגלים אלקטרוניים. בעזרת רכיבים אלה הראנו כי ניתן לממש מעגל משולב שבו הזרם הוא זרם של יונים. מעגלים אלה נקראים מעגלים יונטרוניים משולבים. הכניסות והיציאות של מעגלים אלה מחוברים בעזרת אלקטרודות (שבהן מתרחש התהליך האלקטרוכימי) למערכת אלקטרונית. החזון כולל יצירת מערכת יונטרונית המופעלת בעזרת אנרגיית RF

מטרת הפרויקט:

בניית מדגים למערכת יונטרונית (מעגל משולב יונטרוני המיוצר על גבי PCB) הפועל בעזרת מעגל אלקטרוני לקצירת אנרגיית RF הממומש על גבי PCB גמיש

תכולת הפרויקט:

סקר ספרות על מעגלים יונטרוניים משולבים
מדידה של מעגל יונטרוני – אופיין IV ן
הבנת הצרכים של המערכת הפלואידית – (במידה ונצטרך לבצע תהליכים כימיים נוספים על גבי ה PCB על מנת ליצור ממשק חשמלי טוב יותר בין הבורד לבין הצ'יפ הננופלואידי הסטודנטים ילוו את התהליך)
הפעלת הבורד הייעודי שתוכנן לתקשורת עם המעגל הפלואידי והפעלת החומרה הדרושה
הבנה של מעגלי RF
בנייה והפעלה של המדגים

קורסי קדם:

מעגלים ספרתיים

מקורות:

Sabbagh, Barak, Noa Edri Fraiman, Alex Fish, and Gilad Yossifon. "Designing with Iontronic Logic Gates─ From a Single Polyelectrolyte Diode to an Integrated Ionic Circuit." ACS Applied Materials & Interfaces 15, no. 19 (2023): 23361-23370.

213 Investigating the unique properties of iontronic components and designing innovative computational circuits
אחריות אקדמית:

הרקע לפרויקט:

רכיבים יונטרוניים, הינם רכיבים המבוססים על ננו תעלות בהן ניתן להזרים תמיסה המכילה מולקולות טעונות (יונים). לאחרונה הראו שניתן לממש רכיבים כמו דיודות וטרנזיסטורים למימוש מעגלים הדומים בהתנהגותם למעגלים אלקטרוניים. בעזרת רכיבים אלה הראנו כי ניתן לממש מעגל משולב שבו הזרם הוא זרם של יונים. מעגלים אלה נקראים מעגלים יונטרוניים משולבים.

מטרת הפרויקט:

לבנות מעגל חישובי חדשני המיישם את התכונות הייחודיות של הרכיבים היונטרוניים.

תכולת הפרויקט:

סקר ספרות על מעגלים יונטרוניים משולבים. מדידה של הרכיבים היונטרוניים ואפיון התכונות הייחודיות של הרכיב.
.
אפיון מודול חישובי המבוסס על הרכיבים היונטרוניים ובנייתו בעזרת כלי הסימולציה המשמשים לתכנון מעגלים אלקטרוניים

קורסי קדם:

מעגלים ספרתיים

מקורות:

Sabbagh, Barak, Noa Edri Fraiman, Alex Fish, and Gilad Yossifon. "Designing with Iontronic Logic Gates─ From a Single Polyelectrolyte Diode to an Integrated Ionic Circuit." ACS Applied Materials & Interfaces 15, no. 19 (2023): 23361-23370.

214 Design DNA based machine learning
אחריות אקדמית:

הרקע לפרויקט:

ניתן לממש מעגלי חישוב אשר מבוססים על האינטראקציה בין מולקולות DNA . יחד עם זאת תכנון מעגלים מורכבים יותר המבוססים על DNA דורש הבנה מעמיקה בתחום הביולוגיה המולקולרית יחד עם יכולות תכנון וסימולציה מתקדמות .

מטרת הפרויקט:

לבחון האם ניתן להשתמש בכלי שפותח במעבדה לתכנון של מערכת חישובית מורכבת המבוססת על דנ"א

תכולת הפרויקט:

סקר ספרות והכרות עם מאמרי מפתח בתחום חישוב דנ"א ועם כלי הסימולציה שפותח במעבדה.
תכנון באמצעות הכלי שתוכנן מערכת חישובית חדשנית.

קורסי קדם:

מעגלים ספרתיים

מקורות:

  1. A simple DNA gate motif for synthesizing large-scale circuits
  2. Scaling Up Digital Circuit Computation with DNA Strand Displacement Cascades
215 Electrolyte Impedance Spectroscopy
אחריות אקדמית:

הרקע לפרויקט:

Electrochemical Impedance Spectroscopy (EIS) can provide detailed information regarding biochemical processes and electrolyte composition.

The EIS can provide insights about solution composition or the nature of the grafting or plated material on the electrodes [1].

The EIS can also be used in synthetic biology-based sensors [2]

A setup of 2,3,4 electrode setup [1,3] can be used for obtaining the measurements for a specific challenging problem. The advantages and the disadvantages of each strategy will be evaluated.

The PalmSens [4] commercial potentiostat system and the screen printed electrodes will be used as a baseline system for designing lower cost solution EIS setup which will be characterized and tested in this project.

This project is a collaboration with Prof. Drazen Jurisic (https://www.fer.unizg.hr/en/drazen.jurisic ) from Department of electronics in Zagreb University (he is an expert in analog circuits).

מטרת הפרויקט:

This project is about understanding electrochemical impedance spectroscopy (EIS) and exploring potential applications for EIS

תכולת הפרויקט:

In this multi-disciplinary project, the student will learn how Electrochemical Impedance Spectroscopy can be used for material characterization. The project includes integration of analog and digital circuitry with wet biochemical material (optional).

קורסי קדם:

מעגלים משולבים

מקורות:

  1. Wang, S., Zhang, J., Gharbi, O. et al. Electrochemical impedance spectroscopy. Nat Rev Methods Primers 1, 41 (2021). https://doi.org/10.1038/s43586-021-00039-w
  2. Din, M. Omar, et al. "Interfacing gene circuits with microelectronics through engineered population dynamics." Science advances 6.21 (2020): eaaz8344. (https://www.science.org/doi/10.1126/sciadv.aaz8344 )
  3. Franks, Wendy, et al. "Impedance characterization and modeling of electrodes for biomedical applications." Biomedical Engineering, IEEE Transactions on52.7 (2005): 1295-1302.‏
  4. Palmsens 4 Potentiostat , (https://www.bioanalytics.co.il/products/electrochemistry/palmsens-4-pot… )
216 Analog Sensor for Fault Injection Attack
אחריות אקדמית:

הרקע לפרויקט:

This project is a combination of analog design and hardware security. There are many different ways of attacking a chip to extract secret information. One technique is using a laser or an electromagnetic pulse to change voltages on the chip and switch critical flip-flop states. Our sensor would use some precise analog circuits to detect that such an attack is underway and would then disable the chip to protect the secret information. This project would entail the design and implementation of the sensor circuitry, as well as taping out the Silicon and measuring the effectiveness of the sensor.

מטרת הפרויקט:

This project would entail the design and implementation of the sensor circuitry in virtuoso, as well as the possibility of taping out the Silicon and measuring the effectiveness of the sensor.

תכולת הפרויקט:

In this project the student will take a current design of the sensor and bring it to it’s final stage using digital and analog techniques. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance. This project will include a tapeout and Silicon measurements. The successful conclusion of this project may lead to an academic publication.

קורסי קדם:

768330301 אלקטרוניקה לינארית - חובה
768332501 מעבדה למעגלים אנלוגיים – חובה
8330801 מעגלים אלקטרוניים ספרתיים – חובה
83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
768361101 מעגלים משולבים אנלוגיים – מומלץ

דרישות נוספות:

This is a paid research position for students who are interested in continuing to an MS degree.

מקורות:

  1. Bastos, Rodrigo Possamai, and Frank Sill Torres. On-Chip Current Sensors for Reliable, Secure, and Low-Power Integrated Circuits. Springer, 2020. – The book is available for students that are interested
  2. Miki, Takuji, et al. "Si-backside protection circuits against physical security attacks on flip-chip devices." IEEE Journal of Solid-State Circuits 55.10 (2020): 2747-2755.‏
  3. Nagata, Makoto, Takuji Miki, and Noriyuki Miura. "Physical attack protection techniques for IC chip level hardware security." IEEE transactions on very large scale integration (VLSI) systems 30.1 (2021): 5-14.
217 Design and Implementation of a 100MHz Low-Voltage RC Frequency Reference in CMOS Technology
אחריות אקדמית:

הרקע לפרויקט:

This project involves the design and implementation of a compact 100MHz RC frequency reference in a 65nm CMOS process, operating at a low voltage of 0.6V. RC oscillators are essential in modern electronics as they provide a low-power, small-area alternative to bulky crystal oscillators without the need for costly off-chip components. The focus will be on achieving high accuracy and stability across a wide temperature range (-40°C to 125°C) with minimal long-term drift, making this design particularly suitable for space-constrained and low-power applications like IoT devices.

מטרת הפרויקט:

Success in this project could lead to tapeout and publication, offering an excellent opportunity for 4th-year students or those interested in continuing towards a master’s degree.

תכולת הפרויקט:

Design, simulation and layout in Virtuoso.

קורסי קדם:

768330301 אלקטרוניקה לינארית - חובה
768332501 מעבדה למעגלים אנלוגיים – חובה
8330801 מעגלים אלקטרוניים ספרתיים – חובה
83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
768361101 מעגלים משולבים אנלוגיים – מומלץ

דרישות נוספות:

This can be a paid research position for students interested in continuing to an MS.

מקורות:

  1. S. Pan, Y. Cheng, G. Wu, Z. Wang, K. A. A. Makinwa and H. Wu, "3.2 A 0.028mm² 32MHz RC Frequency Reference in 0.18μm CMOS with ±900ppm Inaccuracy from −40°C to 125°C and ±1600ppm Inaccuracy After Accelerated Aging," 2024 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 2024, pp. 56-58, doi: 10.1109/ISSCC49657.2024.10454366.
  2. Y. Ji et al., "A Second-Order Temperature-Compensated On-Chip R-RC Oscillator Achieving 7.93ppm°C and 3.3pJ/Hz in −40°C to 125°C Temperature Range", ISSCC, pp. 64-65, Feb. 2022.
  3. K.-S. Park et al., "A 1.4-μW/MHz 100MHz RC Oscillator with ±1030 ppm Inaccuracy from −40°C to 85°C After Accelerated Aging for 500 Hours at 125°C", ISSCC, pp. 62-64, Feb. 2023.
  4. X. An et al., "A 0.01 mm² 10MHz RC Frequency Reference with a 1-Point On-Chip-Trimmed Inaccuracy of ±0.28% from −45°C to 125°C in 0.18μm CMOS", ISSCC, pp. 60-62, Feb. 2023.
218 Ultra-Low Power Bandgap reference
אחריות אקדמית:

הרקע לפרויקט:

Bandgap Reference (BGREF) circuits are a foundational building block of many Integrated Circuit (IC) systems. They provide a precise temperature independent voltage. Reference voltages are required for many applications, such as analog-to-digital converters (ADC), Audio, Voice, Clock generation and a host of other applications.

מטרת הפרויקט:

This project involves designing a bandgap reference which operates at very low power [8nW] and still generates an accurate reference.

תכולת הפרויקט:

You will need to learn about bandgap references, understand the challenges of designing them, and apply various techniques to address these challenges.

קורסי קדם:

83303 אלקטרוניקה לינארית – חובה
83325 מעבדה למעגלים אנלוגיים – חובה
83308 מעגלים אלקטרוניים ספרתיים – חובה
83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
83611 מעגלים משולבים אנלוגיים – מומלץ

מקורות:

A. Feldman and J. Shor, "A 0.0106 mm2 8nW Resistor-Less BJT Bandgap Reference in 65nm," ESSCIRC 2023- IEEE 49th European Solid State Circuits Conference (ESSCIRC), Lisbon, Portugal, 2023, pp. 85-88, doi: 10.1109/ESSCIRC59616.2023.10268740.

219 Analog implementation of a Spiking Neural network
אחריות אקדמית:

הרקע לפרויקט:

In recent years Artificial Neural Networks (ANN) have reached maturity, and AI is becoming a technology used everywhere. ChatGPT , Bard and Bing have vast distribution and ANN farms are growing exponentially. The ANN attempts to mimic the human brain as closely as possible to achieve the best possible computing power. As the brain signals are analog and spikey by nature the next step for Artificial Neural Networks is to implement Analog Spiking Neural networks (A-SNN).The aim of this project is to implement a A-SNN which is competitive with recent state-of-the-art publications.

מטרת הפרויקט:

In this project you will design a highly compact matrix of Analog Spiking Neurons that combined implement a full Analog Spiking Neural networks . The Neuron implementation will include the design of a switched-cap integrator and a comparator with a precise programable threshold (see figure below).Both the switched-cap integrator and the comparator will be based on previous designs. Once the Neuron is designed you will also design an array of Neurons which implements a full Analog Spiking Neural networks.You will need to learn the theory and then implement the circuit in 28nm/0.18u CMOS. The design includes both analog and digital blocks, which will allow you to develop skills in both.

תכולת הפרויקט:

In this project the student will first design a Spiking Neuron and then combine them into a full matrix that becomes a full Analog Spiking Neural Network. The implementation will be done using digital and analog techniques. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance.

קורסי קדם:

768330301 אלקטרוניקה לינארית - חובה
768332501 מעבדה למעגלים אנלוגיים – חובה
8330801 מעגלים אלקטרוניים ספרתיים – חובה
83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
768361101 מעגלים משולבים אנלוגיים – מומלץ

דרישות נוספות:

This project is a paid research position for students who are interested in working in our lab part time, and also pursuing an MS degree afterwards. This project is together with some industrial partners.

מקורות:

  1. Y. Ko, S. Kim, K. Shin, Y. Park, S. Kim, and D. Jeon, “A 65 nm 12.92-nJ/Inference Mixed-Signal Neuromorphic Processor for Image Classification,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 70, no. 8, pp. 2804–2808, Aug. 2023
  2. M. Ochs, M. Dietl, and R. Brederlow, “An Analog and Time-Discrete Neuron with Charge-Injection for Use in Ultra-Low Power Spiking Neural Networks,” in 2024 19th Conference on Ph.D Research in Microelectronics and Electronics (PRIME). Larnaca, Cyprus: IEEE, Jun. 2024, pp. 1–4
  3. J. Song, X. Tang, H. Luo, K. Xu, Y. Wang, Z. Ji, R. Wang,and R. Huang, “Spike-CIM: A 290TOPS/W Spike-Encoding Sparsity Adaptive Computing-in-Memory Macro with Differential Charge-Domain Integrate-and-Fire,” in 2022 IEEE Asian Solid-State Circuits Conference (A-SSCC). Taipei, Taiwan: IEEE, Nov. 2022, pp. 1–3
220 Chip Identification Circuit (Fingerprint) using SRAM Physical Unclonable Functions (PUF)
אחריות אקדמית:

הרקע לפרויקט:

In the modern era, there is a huge amount of secured data transfer involving credit cards, autonomous vehicles, IOT, etc. It is essential for data centers to be able to identify users accurately and securely. A new category of circuit called Physical Uncloneable Functions (PUF) has been utilized to provide secret encryption keys and authentication. The PUFs use random transistor mismatch to generate digital codes, which are unknown even to the device manufacturer. The problem with PUF are that they also have many flaky bits which can change due to noise effects, requiring the use of complex error correction algorithms.

מטרת הפרויקט:

In this project, several novel techniques will be utilized to improve the reliability and robustness of the basic PUF cell. These techniques involve a combination of analog, digital and device physics concepts. During the course of this work, you will design a novel PUF array as well as its readout circuitry, all of which will be implemented in a Si IC. This is an original idea whose successful implementation can result in an academic publication.

תכולת הפרויקט:

In this project the student will design a PUF using digital and analog techniques. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance. This project will include a tapeout and Silicon measurements. The successful conclusion of this project may lead to an academic publication. Since there are several topologies here, there can be more than one project available.

קורסי קדם:

768330301 אלקטרוניקה לינארית - חובה
768332501 מעבדה למעגלים אנלוגיים – חובה
8330801 מעגלים אלקטרוניים ספרתיים – חובה
83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
768361101 מעגלים משולבים אנלוגיים – מומלץ

מקורות:

Y. Shifman and J. Shor, "Preselection Methods to Achieve Very Low BER in SRAM-Based PUFs -A Tutorial," in IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 69, no. 6, pp. 2551-2556, June 2022

221 Mixed-analog /digital Capacitive readout circuit for mechanical and Optical sensors
אחריות אקדמית:

הרקע לפרויקט:

There are many types of sensors which change the value of a capacitor upon sensing a physical parameter, like pressure, fluid level, mechanical stress and other. This change in capacitance must be detected by a readout circuit, which can be either an analog circuit (analog to digital converter) or a digital circuit in some cases (like a frequency based converter).

מטרת הפרויקט:

In this project an analog/digital capacitance-to-digital readout circuit will be designed for mechanical sensors. These sensors are useful to measure a physical/mechanical parameter, such as pressure/vibration/acceleration/ ultrasound or optical excitation. The sensing capacitor is sensitive to the physical parameter and its capacitance changes linearly during the sensing. The interface circuit is based on two ring oscillators, which drive programmable capacitors. One of the capacitors is the sensing capacitor, while the second capacitor is a known reference capacitor. Each ring oscillator will generate a frequency, which is proportional to the capacitor which it drives. Several algorithms will be used to cancel the offset between the two ring oscillators. The result will be a digital word which is linearly proportional to the sensed parameter. It will be attempted to develop a novel circuit which is competitive with state-of-the-art sensors reported in the literature.

תכולת הפרויקט:

Students involved will survey the prior-art sensors and understand their performance level. After this, a ring oscillator sensor will be designed and simulated using virtuoso tools. The sensor will be compared to the state-of-the-art. An outstanding project may even be able to tape-out the sensor to achieve measured results. This project may lead to a journal publication and can be extended to a Master’s thesis.

קורסי קדם:

768330301 אלקטרוניקה לינארית - חובה
768332501 מעבדה למעגלים אנלוגיים – חובה
8330801 מעגלים אלקטרוניים ספרתיים – חובה
83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
768361101 מעגלים משולבים אנלוגיים – מומלץ

מקורות:

“Capacitance-to-Digital Converter for Operation Under Uncertain Harvested Voltage down to 0.3V with No Trimming, Reference and Voltage Regulation” by Orazio AIELLO, Paolo CROVETTI and Massimo ALIOTO. International Solid State Circuits Conference 2020, pp. 74.

222 Ultra-Low Power (pico-Watt) Voltage-Level Sensor for Battery Level Monitoring and Energy Harvesting
אחריות אקדמית:

הרקע לפרויקט:

The Internet of Things has enabled devices at the edge which either have a very small battery or function from Energy Harvesting. It is very important to monitor the amount of charge left in the supply voltage to see if the sensor can remain operational or the battery requires replace. In the case of bio-implantable devices, this battery replacement can involve an operation on the patient. Thus a voltage-level sensor is required which consumes the bare minimum of power to indicate whether the battery or energy harvester has sufficient charge in it for the IOT device to function.

מטרת הפרויקט:

In this project you will design an accurate voltage level sensor to determine the amount of charge left in a battery or energy harvester. This sensor has to consume the absolute bare-minimum amount of power (pico-Watts) yet give an accurate DC response. This will involve designing ultra-low-power circuits including a reference voltage generator and comparator as well as digital support circuitry.

תכולת הפרויקט:

You will need to learn the theory and then implement the circuit in 65nm CMOS. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance. The successful conclusion of this project may lead to an academic publication.

קורסי קדם:

768330301 אלקטרוניקה לינארית - חובה
768332501 מעבדה למעגלים אנלוגיים – חובה
8330801 מעגלים אלקטרוניים ספרתיים – חובה
83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
768361101 מעגלים משולבים אנלוגיים – חובה

מקורות:

  1. A. Feldman, O. Nechushtan and J. Shor, "Voltage Level Detection for Near-VTH Computing," in IEEE Journal of Solid-State Circuits, vol. 59, no. 6, pp. 1847-1857, June 2024, doi: 10.1109/JSSC.2023.3309865.
  2. I. Lee, Y. Lee, D. Sylvester, and D. Blaauw, “Battery Voltage Supervisors for Miniature IoT Systems,” IEEE J. Solid-State Circuits, vol. 51, pp. 2743–2756, November 2016.
  3. C. D. Roover and M. S. J. Steyart, “Energy Supply and ULP Detection Circuits for an RFID Localization System in 130 nm CMOS,” IEEE J. Solid-State Circuits, vol. 45, pp. 1273–1285, June 2010.
223 Frequency Locked Loop Circuit for High Frequency IC Clocks
אחריות אקדמית:

הרקע לפרויקט:

A stable clock source is one of the most important requirements for integrated circuit designs. Fully integrated on-chip generation of a clock source has become more important as system-on-chip designs have proliferated. More specifically, wireless sensor nodes for Internet-of-Things (IoT) applications have a small form factor and limited board space, making it difficult to integrate crystal oscillators, especially for implantable applications. An on-chip oscillator requires low power consumption and energy per cycle, frequency stability over varying ambient temperatures, long-term stability, and low supply voltage sensitivity. Low oscillator power consumption is important in a system with low activity where the standby current dominates the total power consumption, as is the case with a wake-up timer or a sleep mode timer. An oscillator must also show good frequency stability and resistance to temperature supply voltage and random variations. At low voltage and low power, this becomes even more challenging.

מטרת הפרויקט:

In this project, several novel techniques will be utilized to design an FLL. These techniques involve a combination of analog, digital and device physics concepts. During this work, you will design a novel FLL, all of which will be implemented in a Si IC.

תכולת הפרויקט:

In this project the student will design an FLL using digital and analog techniques. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance. This project will include a tapeout and Silicon measurements. The successful conclusion of this project may lead to an academic publication.

קורסי קדם:

768330301 אלקטרוניקה לינארית - חובה
768332501 מעבדה למעגלים אנלוגיים – חובה
8330801 מעגלים אלקטרוניים ספרתיים – חובה
83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
768361101 מעגלים משולבים אנלוגיים – מומלץ

מקורות:

  1. M. Choi, T. Jang, S. Bang, Y. Shi, D. Blaauw and D. Sylvester, "A 110 nW Resistive Frequency Locked On-Chip Oscillator with 34.3 ppm/°C Temperature Stability for System-on-Chip Designs," in IEEE Journal of Solid-State Circuits, vol. 51, no. 9, pp. 2106-2118, Sept. 2016, doi: 10.1109/JSSC.2016.2586178.
  2. A. Djemouai, M. A. Sawan and M. Slamani, "New frequency-locked loop based on CMOS frequency-to-voltage converter: design and implementation," in IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, vol. 48, no. 5, pp. 441-449, May 2001, doi: 10.1109/82.938354.
  3. D. S. Truesdell, A. Dissanayake and B. H. Calhoun, "A 0.6-V 44.6-fJ/Cycle Energy-Optimized Frequency-Locked Loop in 65-nm CMOS With 20.3-ppm/°C Stability," in IEEE Solid-State Circuits Letters, vol. 2, no. 10, pp. 223-226, Oct. 2019, doi: 10.1109/LSSC.2019.2946767.
224 Design of a Modular Hard-Problems Solver Hardware Accelerator Utilizing Unique Signal Propagation and Parallelism
אחריות אקדמית:

הרקע לפרויקט:

קיימות מגוון אפליקציות (חיפוש, תקשורת, אופטימיזציה, קריפטוגראפיה) שדורשות פתרון בעיות NP קשות, ופתרונן באופן יעיל (כמה שניתן) מהווה אתגר. כיום, פתרונן נעשה ע"י אלגוריתמים שונים אשר מנסים לשפר את זמן הריצה ולרוב נתקלים בטריידאוף חסמי זמן-ריצה\זכרון כאשר הפתרון מסתמך על זכרון זמני ומכונת מצבים. בסופו של יום, פתרונות אשר משאירים את זמן הפתרון אקספוננציאלי. במהלך מחקר בנושא התגלה מבנה חומרתי שמאפשר בעזרת חלחול אותות דרכו לפלוט את פתרון הבעיה בצורה ישירה לעיתים ללא זכרון כלל, שכן הפתרון כבר ברמת החומרה והאותות והבעיה מומרת לבעיית שטח (עם מגבלות מסוימות). המאיץ עשוי להביא למהפכה בזמני חישוב של בעיות קשות להן יש שימוש בתחומים רבים נוספים, עבור סטים של פרמטרים פרקטיים ובעלי ישימות.

מטרת הפרויקט:

בפרויקט, לאחר טעימה מהרקע לבעיה, הבנת הישגי הפתרונות בתוכנה, והכרת המעגל לתכנון בחמרה – תידרשו למדל את החישוב בשפת חמרה \ ורילוג, לסנטז ולממש את המעגל על גבי תשתית FPGA. מטרתנו לבחון את ביצועיו ולהשוות לדיווחי ביצועי הפתרונות התוכנתיים שהוצעו בעבר.

במהלך הפרויקט תיחשפו לכלים ותהליך design, תביאו לידי ביטוי ידע בנושא תכנון שבבים ואלגוריתמים, תתנסו בסינטזה על FPGA ומימוש חמרתי ותבצעו אופטימיזציה ובחינה עמוקה בתור אבלואציה.

תכולת הפרויקט:

הפרויקט כולל מספר שלבים:
1. לימוד רקע תיאורטי על בעיות לדוגמא שהמאיץ פותר, ביצועי דרכי הפתרון בתוכנה הנוכחיים לשם השוואה עתידית והכרת תכנון המעגל המוצע.
2. סינטזה על FPGA, כתיבת קוד ורילוג (בהנחייה).
3. ניתוח ביצועי המאיץ והשוואה לפתירה בתכנה.

קורסי קדם:

תכן לוגי, DDP (אך לא חובה כמצוין מטה)

דרישות נוספות:

- יתרון: עקרונות של תכנון מערכות דיגיטליות או בעלי רקע בתכנות VERILOG. (במידה ואין, ניתן ללמוד עצמאית מראש או גם במהלך הסמסטר הראשון (חומר הרצאות מלא יינתן ע"י המנחים וכמובן הכוונה והדרכה) VERILOG בסיסי ילמד בקורס מעגלי ומערכות VLSI דיגיטליים, קורס חובה למסלול ננו בסמסטר א')-

מקורות:

  1. Aluf-Medina, Michelle & Korten, Till & Raviv, Avraham & Nicolau, Dan & Kugler, Hillel. (2021). Formal Semantics and Verification of Network-Based Biocomputation Circuits. 10.1007/978-3-030-67067-2_21.
  2. Korten, Till & Diez, Stefan & Linke, Heiner & Nicolau, Dan & Kugler, Hillel. (2021). Design of network-based biocomputation circuits for the exact cover problem. New Journal of Physics. 23. 085004. 10.1088/1367-2630/ac175d.
  3. Horowitz, Ellis & Sahni, Sartaj. (1974). Sahni, S.: Computing partitions with applications to the knapsack problem. Journal of the ACM 21, 277-292. J. ACM. 21. 277-292. 10.1145/321812.321823.
225 Improving data integrity in embedded memories by applying algorithmic/statistical methods
אחריות אקדמית:

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications. One of the drawbacks of this technology is the limited data retention time (DRT) due to parasitic leakage currents. Often a single cell with low DRT requires much more often restore operations on the whole array, severely impacting the power efficiency and memory availability.

מטרת הפרויקט:

In this project, the students will model the probability of cell failures and explore the possibilities of improving the DRT through algorithmic approaches mixed with circuit design techniques.

תכולת הפרויקט:

The project is a research project with both theoretical and implementation components, requiring suggesting and implementing novel hardware solutions both in Matlab and Cadence Virtuoso.

קורסי קדם:

מעגלים אלקטרוניים ספרתיים

דרישות נוספות:

מעגלים משולבים ספרתיים 83-313

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5
226 תכנון מנגנוני שליטה ופריפריה מתקדמים לזיכרונות דינאמיים
אחריות אקדמית:

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a dynamic storage technology that presents an alternative to standard SRAM for various applications. In this project, novel circuit techniques will be developed for GC-eDRAM based memories to improve performance, power, and area (PPA) costs.

מטרת הפרויקט:

The project outcome is a novel technique for improving the GC-eDRAM technology

תכולת הפרויקט:

This work will include the investigation of sophisticated refresh schemes, advanced write-back techniques, and others. This research project will include Virtuoso based simulations in advanced CMOS nodes

קורסי קדם:

מעגלים אלקטרוניים ספרתיים

דרישות נוספות:

מעגלים משולבים ספרתיים 83-313

מקורות:

1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5
3. Refresh Algorithm for Ensuring 100% Memory Availability in Gain-Cell Embedded DRAM Macros https://ieeexplore.ieee.org/document/9495814
4. A 4T GC-eDRAM Bitcell with Differential Readout Mechanism For High Performance Applications https://ieeexplore.ieee.org/document/10559672

227 In-memory computing using the GC-eDRAM
אחריות אקדמית:

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications. The GC-eDRAM memory is based on a dynamic bitcell that does not have a constant power supply. The dynamic nature of the cell leads to limited data retention time (DRT) due to parasitic leakage currents. But it also creates opportunities to explore in utilizing these mechanisms to our advantage.

מטרת הפרויקט:

The unique features of the GC-eDRAM memories, require specialized memory block design, but also an opening for implementing in-memory logical computations with very little overhead. For example readout of an logical "NOR" function result for two adjacent memory rows can be performed in such a memory with very little overhead. In this project we aim to design a GC-eDRAM memory that will allow to perform various logical computation with data stored in the memory.

תכולת הפרויקט:

The students will develop a unique memory design and architecture. They will be required to suggest and implement novel ideas in memory design and run various simulations to prove the suggested memories reliability.

קורסי קדם:

מעגלים אלקטרוניים ספרתיים

דרישות נוספות:

Digital Integrated Circuits (83-313)

מקורות:

1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5

228 Advanced dynamic memory bitcells
אחריות אקדמית:

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a dynamic storage technology that presents an alternative to standard SRAM for various applications. In this project, novel circuit techniques will be developed for GC-eDRAM based memories to improve performance, power, and area (PPA) costs.

מטרת הפרויקט:

Characterization of novel GC-eDRAM bitcell topology and physical design of memory array based on it.

תכולת הפרויקט:

This research project will include Virtuoso based simulation in advanced technology nodes, designing the array architecture and implementing it in physical layout

קורסי קדם:

מעגלים משולבים ספרתיים 83-313

דרישות נוספות:

מעגלי ומערכות וי.אל.אס.איי. דיגיטליים - 83-612

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5
  3. Configurable Multi-Port Dynamic Bitcell with Internal Refresh Mechanism https://ieeexplore.ieee.org/document/8617861
  4. A 4T GC-eDRAM Bitcell with Differential Readout Mechanism For High Performance Applications https://ieeexplore.ieee.org/document/10559672
229 Simulating structural and spectroscopic properties of point defect in 2D materials from first principle methods
אחריות אקדמית:

הרקע לפרויקט:

המהפכה הקוונטית השנייה משנה את עולם הטכנולוגיה כבר קיום. החיפוש אחרי מקור פליטה של פוטון בודד אשר יכול לפעול בטמפרטורת החדר ובעל יעילות קוונטית גבוהה מהווה נושא מחקר בהמון תחומים שונים קיום. פגמים נקודתיים בשכבות דו ממדיות הם פלטפורמה מבטיחה לפיתוח של מרכז צבע פולט אור בטמפרטורת החדר ואינטגרציה של מבנים אלו בהתקנים אופטואלקטרוניים קיימים .

קשה לקבוע את המבנה של אותם פגמים נקודתיים בתוך השכבות הדו ממדיות ולכן חישובים של מבנה אלקטרוני של חומרים , הפותרים את משוואת שרדינגר בצורה נומרית ללא ידע מוקדם מניסוי , מהווים בסיס לקביעת המבנה של פגמים אלו ויכולים לעזור בפיענוח של תכונות ספקטרוסקופיות כלומר הבנה כיצד משפיע המבנה של הפגמים בתוך השכבות על התכונות האופטיות של החומרים . סימולציות אלו יכולות לעזור בפיתוח של מקור פליטה של פוטון בודד אשר יכול להוות אבן בסיס לטכנולוגיות קוונטיות שונות , כגון : מחשוב קוונטי , גלאים קוונטים ותקשורת קוונטית.

מטרת הפרויקט:

מטרת הפרויקט הוא חישוב תכונות אופטיות ומבניות של פגמים נקודתיים בשכבות דו מימדיות על ידי סימולציות first principle ללא ידע מוקדם מניסוי .
הסטודנט יחקור כיצד מודלים תאורטיים מבניים שונים של פגמים נקודתיים בשכבות דו ממדיות המתאימות לאירוח של מרכזי צבע פולטי אור משפיעים על התכונות האופטיות של החומרים השונים. דוגמא לחומר אחד כזה הוא בורון ניטרידי הקסגונלי . הסטודנט יחקור כיצד מבנים שונים של פגמים יכולים לשנות את מצב היסוד הספיני , דבר אשר חשוב עבור פיתוח של מקור פולט פוטון בודד בטמפרטורת החדר שיכול להוות קיוביט , אבן הבסיס של מחשב קוונטי.
הסטודנט יחקור מספר שכבות וינסה מספר שיטות המבוססות על תורת פונקציונאל הצפיפות.

תכולת הפרויקט:

  • סקר ספרות על מקורות אור בודדים המבוססים על פגמים נקודתיים בחומרים.
  • סקר ספרות על שיטות חישוב של מבנה אלקטרוני המבוססות על תורת פונקציונאל הצפיפות.
  • יצירת מודלים תאורטיים למבנים אפשריים של פגמים נקודתיים בחומרים דו ממדים.
  • סימולציות של תכונות מבניות של החומרים הננו מטרים כגון אנרגית יצירה של פגמים אלו ע"י שיטות
  • חישוב מבנה אלקטרוני המבוססות על תורת פונקציונאל הצפיפות.


קורסי קדם:

83204 מכניקה קוונטית שימושית או קורס מקביל במכניקה קוונטית

דרישות נוספות:

מבנה אלקטרוני של חומרים ומולקולות

מקורות:

  1. Tran, T. T.; Bray, K.; Ford, M. J.; Toth, M.; Aharonovich, I. Quantum Emission from Hexagonal Boron Nitride Monolayers. Nat. Nanotechnol. 2016, 11 (1), 37–41. https://doi.org/10.1038/nnano.2015.242.*
  2. Dreyer, C. E.; Alkauskas, A.; Lyons, J. L.; Janotti, A.; Van de Walle, C. G. First-Principles Calculations of Point Defects for Quantum Technologies. Annu. Rev. Mater. Res. 2018, 48 (1), 1–26. https://doi.org/10.1146/annurev-matsci-070317-124453.*
  3. Goldzak, T.; McIsaac, A. R.; Van Voorhis, T. Colloidal CdSe Nanocrystals Are Inherently Defective. Nat. Commun. 2021, 12 (1), 890. https://doi.org/10.1038/s41467-021-21153-z.
  4. Laorenza, D. W.; Kairalapova, A.; Bayliss, S. L.; Goldzak, T.; Greene, S. M.; Weiss, L. R.; Deb, P.; Mintun, P. J.; Collins, K. A.; Awschalom, D. D.; Berkelbach, T. C.; Freedman, D. E. Tunable Cr4+ Molecular Color Centers. J. Am. Chem. Soc. 2021, 143 (50), 21350–21363.
230 Applying and developing novel quantum chemistry electronic structure methods for calculating 2D materials properties
אחריות אקדמית:

הרקע לפרויקט:

חישוב תכונות של חומרים ומולקולות כיום הינו תחום רחב הנותן מענה לניבוי תכונות של חומרים חדשים ועוזר בהבנת מנגנונים של תגובות כימיות ומעבר של אלקטרונים בין ממשקים של חומרים שונים.
שיטות חישוב של מבנה אלקטרוני של מוצקים (electronic structure methods) מבוססות כיום בעיקר על תורת פונקציונאל הצפיפות . תורה זו הינה יעילה חישובית ונותנת תוצאות טובות עבור תכונות מסוימות של חומרים, אבל מתקשים בניבוי של תכונות אחרות .
שיטות חישוב מבנה אלקטרוני אשר מבוססות על כימיה קוונטית , פותרות את משוואת שרדינגר האלקטרונית בצורה נומרית ובעזרת תורת ההפרעות מרובת חלקיקים. כיום הן בעיקר משמשות לחישוב של מולקולות קטנות עד בינוניות , הם שיטות שניתן להגיע לדיוק גבוה מאד בניבוי תכונות של מולקולות , וככול שעולים בסיבוכיות של השיטה כך גם הדיוק עולה .
בשנים האחרונות יש התקדמות בפיתוח של שיטות אלו עבור חומרים בעלי מבנה מחזורי כגון מוצקים ומשטחים. שיטות אלו הראו תוצאות טובות עבור ניבוי של תכונות אופטיות של מוצקים , סיפוח של מולקולות על פני שטח ועוד.
חומרים דו ממדיים הם בחזית הטכנולוגית של יישומי אנרגיה והתקני אופטואלקטרוניקה חדשים , החיפוש המתמיד אחרי חומרים חדשים כיום נמצא בתחומי טכנולוגיה ומחקר רבים.
בפרויקט זה אנחנו נפתח וניישם שיטות חישוב המבוססות על כימיה קוונטית עבור חישוב תכונות של חומרים דו ממדיים וסיפוח של מולקולות על משטחים אלו.

מטרת הפרויקט:

מטרת הפרויקט הוא חישוב תכונות של חומרים דו מימדיים על ידי שיטות המבוססות על כימיה קוונטית ללא ידע מוקדם מניסוי ( ab-initio ).
הסטודנט ייפתח שיטות חישוב יעילות , המבוססות על תורת ההפרעות ויישם אותם על חומרים דו ממדיים. הסטודנט ישווה זמן חישוב של אלגוריתם של השיטה החדשה ביחס לשיטות הקודמות.
הסטודנט יחקור שיטות שונות ויחשב תכונות שונות של חומרים וישווה את התוצאות לשיטות המבוססות על פונקציונאל הצפיפות .
הסטודנט יחקור חומרים שונים בעלי שכבה אחת וגם בעלי כמה שכבות עם חומרים שונים .

תכולת הפרויקט:

  • סקר ספרות על חומרים דו מימדיים ושיטות לחישוב תכונות שלהם.
  • סקר ספרות על שיטות חישוב של מבנה אלקטרוני המבוססות על תורת הפרעות רב גופית.
  • סימולציות של תכונות מכניות של החומרים הדו ממדיים והשוואת התוצאות לניסוי ולשיטות קיימות.
  • השוואת חסכון בזמני חישוב וזיכרון של השיטה החדשה לעומת שיטות אחרות .
  • הגשת דוח מסכם.


קורסי קדם:

מכניקה קוונטית שימושית, 83204

מקורות:

  1. “Accurate thermochemistry of covalent and ionic solids from spin-component-scaled MP2”, T.Goldzak et al, J. Chem. Phys. 157, 174112 (2022) https://doi.org/10.1063/5.0119633.
  2. Gaussian-based coupled-cluster theory for the ground-state and band structure of solids, James McClain, Qiming Sun, Garnet Kin-Lic Chan, Timothy C Berkelbach, . Chem. Theory Comput. 2017, 13, 3, 1209–1218, https://doi.org/10.1021/acs.jctc.7b00049.
  3. Second-order Møller–Plesset perturbation theory applied to extended systems. II. Structural and energetic properties, Andreas Grüneis; Martijn Marsman; Georg Kresse, J. Chem. Phys. 133, 074107 (2010) , https://doi.org/10.1063/1.3466765.
  4. Modern Quantum Chemistry: Introduction to Advanced Electronic Structure Theory, by Attila Szabo, Neil S. Ostlund
231 Modeling quantum tunneling effects in resonant tunneling diodes
אחריות אקדמית:

הרקע לפרויקט:

תהליך המנהור הקוונטי הוא תהליך קוונטי בסיסי אשר במהלכו אלקטרון יכול לעבור בהסתברות מלאה מתחת למחסום פוטנציאל אפילו שאין לו מספיק אנרגיה לעשות זאת.
על בסיס התופעה הקוונטית המעניינת הזו פותחו מגוון של התקנים אלקטרוניים כמו דיודות מנהור רזונטיביות, והתקני טרנזיסטורים קוונטיים, מיקרוסקופיים מבוססי מנהור ואלקטרוניקה מולקולרית.
דיודות מנהור רזונטיביות מבוססות על שכבות דקות של ננו – חומרים אשר מונחות אחת על השנייה.
ניתן לחשב את האנרגיות הרזונטיביות שבהן אלקטרון יכול לעבור מנהור ע"י מודלים שמתארים את השכבות הננו מטריות של החומרים השונים ופתירת משוואת שרדינגר .
הוספת לייזר למערכת כזו תגרום לאינטראקציות בין אור לחומר אשר יכולים לגרום לאפקטים קוונטים חדשים שבעזרתם ניתן לתכנן גלאים קוונטים בעלי רגישות מאד גבוהה לאורכי גל .
בפרויקט זה אנחנו נבדוק כיצד הוספת לייזר משפיעה על הסתברות המעבר בהתקנים ננו מטרים כאלו , כיצד ניתן להנדס את המבנים הננו מטרים כך שאפשר יהיה לתכנן גלאי קוונטי המבוסס על אינטראקציות לייזר עם האלקטרונים במבנה הננומטרי ומנהור קוונטי רזונטיבי.

מטרת הפרויקט:

מטרת הפרויקט הוא לחקור את השפעת הלייזר על תכונות המנהור של האלקטרון במבנה ננו מטרי של מוליכים למחצה . לבנות מודל חישובי אשר מחשב את הסתברות המעבר של אלקטרון במבנה בעל שכבות דו מיימדיות של מוליכים למחצה שונים.
בעזרת מודלים חישוביים נוכל לתכנן גלאי קוונטי מבוסס על דיודות מנהור רזונטיביות . במהלך הפרויקט הסטודנט יבנה מודל תאורטי שמחשב את הסתברות המעבר של אלקטרון במבנים ננו מטרים עם ובלי השפעת לייזר. הסטודנט יחקור כיצד שינוי הפרמטרים השונים של המבנה (סוגי החומרים ועובי השכבות ) משפיעים על התופעות הקוונטיות השונות , בכדי להבין כיצד ניתן לקבל את האפקט הקוונטי בצורה אופטימלית . בנוסף הסטודנט יחפש חומרים מתאימים ע"י חישוב מבנה אלקטרוני של ננו חומרים בעזרת סימולציות מבוססות על תורת פונקציונל הצפיפות.

תכולת הפרויקט:

  • סקר ספרות על תופעות מנהור קוונטי וכיצד הן באות לביטוי בהתקנים אלקטרוניים, בדגש על דיודות מנהור רזונטיביות.
  • למידת נושא של בניית מודלים למבנים ננו מטריים , וחישוב הסתברויות מעבר עבור מודליים כאלו בשיטות נומריות ואנליטיות.
  • בניית מודל תאורטי המחשב את הסתברות המעבר של אלקטרון במבנה ננו מטרי של שכבות מוליכים למחצה.
  • הוספת אינטרקציה של לייזר למודל וחישוב ההסתברות.
  • הגשת דוח מסכם.


קורסי קדם:

83204 מכניקה קוונטית שימושית או קורס מקביל במכניקה קוונטית

מקורות:

  1. Interatomic Coulombic decay in two coupled quantum wells, Tamar Goldzak, Liron Gantz, Ido Gilary, Gad Bahir, Nimrod Moiseyev, Phys. Rev. B 91, 165312.
  2. “Laser Control of Resonance Tunneling via an Exceptional Point”, Anael Ben-Asher, Daniel Šimsa, Tereza Uhlířová, Milan Šindelka, and Nimrod Moiseyev, Phys. Rev. Lett. 124, 253202.
  3. Safumi Suzuki, Masahiro Asada, Atsushi Teranishi, Hiroki Sugiyama, and Haruki Yokoyama, “Fundamental oscillation of resonant tunneling diodes above 1 thz at room temperature,” Applied Physics Letters 97, 242102 (2010).
232 Mathematical implementation of the Tsetlin machine
אחריות אקדמית:

הרקע לפרויקט:

Tsetlin Machine is a machine learning model based on propositional logic that learns patterns in data using simple, interpretable rules. It employs a group of Tsetlin Automata to form decisions, with each automaton selecting either to include or exclude specific logical clauses. These clauses are combined to represent complex patterns. Unlike neural networks, Tsetlin Machines operate on binary inputs and outputs, offering lower computational complexity, easier interpretability, and scalability. They are effective for classification tasks and are particularly suited to problems where rule-based learning is advantageous.

מטרת הפרויקט:

In this project we would like to implement mathematical functions with the help of the machine on an FPGA board

תכולת הפרויקט:

The students will learn about the Tsetlin machine and use it to implement various mathematical functions
The work will be in the Vivado software environment and they will experience working on an FPGA board

קורסי קדם:

  • קורס מעגלים ספרתיים
  • מעבדה למעגלים ספרתיים

דרישות נוספות:

The students will be required to write in Verilog and will have to learn how the board works

מקורות:

  1. https://tsetlinmachine.org/
  2. Ole-Christoffer Granmo,The Tsetlin Machine – A Game Theoretic Bandit Driven Approach to Optimal Pattern Recognition with Propositional Logic,2021
233 Boolean implementation of a Tsetlin machine
אחריות אקדמית:

הרקע לפרויקט:

A Tsetlin Machine is a machine learning model based on propositional logic that learns patterns in data using simple, interpretable rules. It employs a group of Tsetlin Automata to form decisions, with each automaton selecting either to include or exclude specific logical clauses. These clauses are combined to represent complex patterns. Unlike neural networks, Tsetlin Machines operate on binary inputs and outputs, offering lower computational complexity, easier interpretability, and scalability. They are effective for classification tasks and are particularly suited to problems where rule-based learning is advantageous

מטרת הפרויקט:

In this project we would like to implement boolean functions with the help of the machine on an fpga board

תכולת הפרויקט:

The students will learn about the Tsetlin machine and use it to implement various boolean functions

קורסי קדם:

  • קורס מעגלים ספרתיים
  • מעבדה למעגלים ספרתיים


דרישות נוספות:

  • The work will be in the Vivado software environment and they will experience working on an FPGA board
  • The students will be required to write in Verilog and will have to learn how the board works

מקורות:

  1. https://tsetlinmachine.org/
  2. Ole-Christoffer Granmo,The Tsetlin Machine – A Game Theoretic Bandit Driven Approach to Optimal Pattern Recognition with Propositional Logic,2021
234 Log domain transformer - (software design and integration in SOC)
אחריות אקדמית:

הרקע לפרויקט:

מעבר לlog domain הוכח כיעיל באופן תיאורטי לפתור בעיות של סיבוכיות חומרה במודלים מבוססי transformers בתחומי השפה (LLM) והראיה הממוחשבת (CV). בפרוייקט זה נשתף פעולה עם צוות אשר ממש מאיץ שמבצע מעבר לlog domain נבצע איטגרציה לתוך system on chip (SOC) ונבדוק ביצועים.

מטרת הפרויקט:

כתיבת קוד ב-C ובורילוג שמממש interface בין המאיץ לCPU. הרצה של מודל מבוסס transformer בלי לבצע פעולות כפל וחילוק ומדידת תוצאות.

תכולת הפרויקט:

סקירת ספרות של הדרכים למעבר מ- ואל הlog domain בחומרה
מימוש קוד ורילוג של הממשק (interface) בין החומרה לCPU.
איטגרציה בתוך מאיץ חומרה למודלים ללמידה עמוקה.
סינטזה עוברת של המודול.
ריצה של שלב אחד לפחות של הattention בgtl-level.

קורסי קדם:

83612 מעגלי ומערכות VLSI דיגיטליים,

דרישות נוספות:

למידת מכונה/ למידה עמוקה, תכן לוגי, קורס בשפת c/c++, מערכות הפעלה

מקורות:

  1. https://arxiv.org/abs/1706.03762
  2. https://en.wikipedia.org/wiki/Attention_(machine_learning)
235 Circuit Design Paradigms for Cryogenic Oriented Applications
אחריות אקדמית:

הרקע לפרויקט:

תכנון מעגלים וההבנה שלהם הוא בסיס העשייה לקראת יצירת אפליקציות למטרות שונות. כיום, הצורך בתכנון מעלים שפועלים בטמפרטורות קריוגניות (כגון 77K ו-4.2K) תופס תאוצה עקב עלייה במספר התחומים שדורשים פעולה בתנאי קיצון כמו מחשוב קוונטי, תכנון דל הספק, חישת תמונה ועוד.

על מנת ליצור מעגל שיעבוד בצורה אופטימלית בטמפרטורות קריוגניות יש לעבוד עם מודלים עדכניים שמתחשבים בכל האפקטים שמשנים את התנהגות הטרנזיסטור בטווח הטמפרטורות הללו. כיום אין מודלים זמינים לכלי CAD המותאמים לטמפרטורות קריוגנית, לכן תכנון מעגלים מחייב למידה עמוקה של התהליכים הפיזיקליים והתאמת התכנון בעזרת יצירת קווי מנחה.

מטרת הפרויקט:

בפרויקט נצלול אל תוך תכנון מעגלים ומדידות קריוגניות. המעגלים שייבנו יועדו לפעול בצורה אופטימלית בטמפרטורות הקריוגניות, תוך מתן דגש על מטרת המעגל וטווחי הטמפרטורה שבו יפעל. המעגל הסופי יהיה ייחודי ויתרום רבות לביסוס תכנון מערכות המיועדות לאפליקציות קריוגניות.

תכולת הפרויקט:

על הסטודנטיםות לחקור על התהליכים שקורים בטמפרטורות קריוגניות מתוך מאמרים קיימים ולהבין את קווי המנחה לתכנון מעגלים. לאחר מכן על הסטודנטיםות להשתמש בקווי המנחה הללו כדי לבנות מעגלים שונים ואף לבצע מדידות על מנת להבין איך התהליכים הפיזיקלים ישפיעו על תכנון המעגל.

קורסי קדם:

מעגלים אלקטרונים ספרתיים, מעגלים משולבים ספרתיים, מעבדה למעגלים אלקטרוניים ספרתיים, מבוא למעגלים

מקורות:

  1. https://ieeexplore.ieee.org/document/10324399
  2. https://ieeexplore.ieee.org/abstract/document/7838410
236 Low Power HW Acceleration for ML Based Command Recognition
אחריות אקדמית:

הרקע לפרויקט:

זיהוי פקודות קוליות הינו אמצעי חשוב לממשק משתמש עם מערכת שימושיות כולל מערכות המוגבלות במשאבי אנרגיה, לצורך כך נדרשים מאיצי חמרה, המשפרים משמעותית את היעילות האנרגטית של מנגנון הזיהוי.

מטרת הפרויקט:

השגת שיפור מדיד בביצועי ובצריכת ההספק של מערכת זיהוי פקודות קוליות באמצעות מאיצי חמרה.

תכולת הפרויקט:

הבנה מעמיקה שך מנגנון לזיהוי פקודות קוליות מבוסס למידת מכונה ומימוש מאיץ חמרה לייעול המערכת.

קורסי קדם:

נדרשת שליטה טובה וניסיון בתחומים הבאים: תכן לוגי, קידוד C, ופייתון.

דרישות נוספות:

תידרש השלמת ידע עצמאית בלמידת מכונה, ותכנון חמרה בעזרת קידוד Verilog וכן רישום לקורס עקרונות של תכנון מערכות דיגיטליות

מקורות:

https://siliconlabs.github.io/mltk/_static/kws_overview/index.html#/7

237 Hardware acceleration for AI computation
אחריות אקדמית:

הרקע לפרויקט:

יישומי בינה מלאכותית כגון זיהוי תמונה, ועיבוד שפה נדרשים לחישובים אריתמטיים אינטנסיביים המאופיינים על ידי מספר יחסית מצומצם של פונקציות מתמטיות ממוקדות הנקראות איטרטיבית וצורכות את מרבית משאבי החישוב. האצת חמרה ייעודית של פונקציות אלו יכולה ליעל את ביצועי תהליך החישוב באופן דרמטי מבחינת זמן תגובה ומשאבי אנרגיה.

מטרת הפרויקט:

בחירה של פונקציית חמרה להאצה בדגש על חישובים מקורבים ביעילות גבוהה

תכולת הפרויקט:

הגדרה, פיתוח ומימוש של המאיץ, מידול המאיץ, מדידה ושיפור רמת הדיוק של החישוב, הוכחת התכנות מימוש פיסי ע"י מימוש המאיץ בקוד ורילוג וסינתזה שלו.

קורסי קדם:

נדרשת שליטה טובה וניסיון בתחומים הבאים: תכן לוגי, קידוד C, ורילוג, ופייתון.

דרישות נוספות:

השתתפות בקורס "עקרונות של תכנון מערכות דיגיטליות" בסמסטר ב'

מקורות:

https://enicslabs.com/academic-courses/hardware-for-deep-learning/
בעיקר הרצאות 1a,1b2a,2b

238 Design of Gain Cell Embedded DRAM Architectures for Cryogenic Operation
אחריות אקדמית:

הרקע לפרויקט:

כיום חלק עיקרי בצ'יפים הם זיכרונות. ביצועי המערכת האופטימליים מושגים כאשר כמות גדולה יותר של זיכרון משולבת ישירות על השבב, במקום להסתמך על שבבי זיכרון חיצוניים. בהיררכיית הזיכרון, טכנולוגיית SRAM עם תאי זיכרון של 6T נפוצה מאוד, אך יש לה חיסרון של שטח גדול.
הGain Cell הוא זיכרון דינמי, הוא מהווה אלטרנטיבה טובה - צפיפות גבוהה, צריכת הספק נמוכה, אך החיסרון ביחס ל-SRAM הוא זליגה אפשרית של מידע, מה שמצריך פעולות רענון תקופתיות.

מטרת הפרויקט:

בטמפרטורות קריוגניות נצפו שיפורים מבחינת הידרדרות המידע, לכן נרצה זיכרון שפועל בצורה יעילה תחת טמפרטורות אלה. זיכרונות שפועלים טוב בטמפרטורות קריוגניות (נמוכות) הם קריטיים עבור יישומים שונים, כמו מחשוב קוונטי.

תכולת הפרויקט:

נרצה לחקור על ההשפעה שיש לטמפרטורות הקריוגניות על טרנזיסטורים ולבנות ארכיטקטורה של זיכרון דינאמי שיפעל בצורה טובה תחת השפעות אלו

קורסי קדם:

מעגלים משולבים, מעגלים ספרתיים + מעבדה

דרישות נוספות:

ידע בוירטואוזו

מקורות:

Meinerzhagen, Pascal, Adam S. Teman, Robert Giterman, Noa Edri, Andreas Burg, and Alexander Fish. Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip / Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish. Cham, Switzerland: Springer, 2018.

239 Macro-architecture design of an eDRAM utilizing an advanced refresh ability
אחריות אקדמית:

הרקע לפרויקט:

זכרונות הם חלק בלתי נפרד מעולם השבבים היום. הוא תופס את מרבית השטח וההספק שנצטרך מהשבב ולכן ישנם הרבה מחקרים סביב תכנון זכרון שיהיה חסכוני בתחומים אלו.

מטרת הפרויקט:

בפרוייקט זה נתכנן זכרון דינאמי, כאשר הבעיה העיקרית שלו היא הצורך הרענון המידע שזולג לאורך זמן. נחשוב על מנגון רענון חדשני שישפר את תפקוד הזכרון לכך שיהיה אלטרנטיבה מספקת לאינטגרציה בשבבים.

תכולת הפרויקט:

בפרוייקט זה נעבוד עם וירטואוזו בכדי לתכנן ולסמלץ מאקרו-ארכיטקטורה עם פריפריות תומכות במערך זכרון דינאמי בעל מנגון ייחודי לרענון. בעזרת בסימולציות נוכל לבצע אופטימיזציה וייעול לפעילות המערך, תוך דגש על הספק, שטח ומהירות.

קורסי קדם:

מעגלים משלובים או/ו מעגלים ספרתיים (יחד עם המעבדה)

דרישות נוספות:

ידע בוירטואוזו

מקורות:

Meinerzhagen, P., Teman, A. S., Giterman, R., Edri, N., Burg, A., & Fish, A. (2018). Gain-cell embedded DRAMs for low-power VLSI systems-on-chip / Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish. Springer. https://doi.org/10.1007/978-3-319-60402-2

240 Exploring dynamic embedded memories
אחריות אקדמית:

הרקע לפרויקט:

כיום חלק עיקרי בצ'יפים הם זיכרונות. ביצועי המערכת האופטימליים מושגים כאשר כמות גדולה יותר של זיכרון משולבת ישירות על השבב, במקום להסתמך על שבבי זיכרון חיצוניים. בהיררכיית הזיכרון, טכנולוגיית SRAM עם תאי זיכרון של 6T נפוצה מאוד, אך יש לה חיסרון של שטח גדול. הGain Cell הוא זיכרון דינמי, הוא מהווה אלטרנטיבה טובה - צפיפות גבוהה, צריכת הספק נמוכה, אך החיסרון ביחס ל-SRAM הוא זליגה אפשרית של מידע, מה שמצריך פעולות רענון תקופתיות.

מטרת הפרויקט:

לזיכרון דינאמי זה מספר טופולוגיות הנבדלות אחת מהשנייה בכמות הטרנזיסטורים וסוגם, נרצה לחקור את ההבדלים מבחינת מהירות, צריכת הספק ועוד.

תכולת הפרויקט:

בניה של מגוון תאי זיכרון שונים והרצת סימולציות על מנת למדוד אותם , נרצה להבין את ההבדלים, את המגבלות שנובעות מבחינת זליגה ולהשוות את התוצאות

קורסי קדם:

מעגלים משולבים, מעגלים ספרתיים + מעבדה

דרישות נוספות:

ידע בוירטואוזו

מקורות:

Meinerzhagen, Pascal, Adam S. Teman, Robert Giterman, Noa Edri, Andreas Burg, and Alexander Fish. Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip / Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish. Cham, Switzerland: Springer, 2018.

241 Measurement and design of gain cell dynamic memories
אחריות אקדמית:

הרקע לפרויקט:

Gain cell memories are a type of embedded DRAM memories compatible with cmos logic. They are a good candidate to replace SRAM as the low levels of cache. In this project we will work on designing a new type of GC and measuring existing hardware that contain gain cells.

מטרת הפרויקט:

The results from this project are measurement and simulation results of memory cells from different types.

תכולת הפרויקט:

The students will need to design, simulate gain cells of different types and measure existing solutions.

קורסי קדם:

מעגלים משולבים

מקורות:

Garzon, E., Greenblatt, Y., Harel, O., Lanuzza, M., & Teman, A. (2021). Gain-Cell Embedded DRAM Under Cryogenic Operation-A First Study. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 29(7), 1319–1324. https://doi.org/10.1109/TVLSI.2021.3081043 https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=9442391&casa_token…

פרויקטים נוספים מומלצים

312 Remote biomedical sensing based upon RADAR sensing behind walls
חישה ביו רפואית מבוססת מכ"ם וגלי רדיו כדי לגלות פרמטרי חיות בסיסיים מאחורי קירות
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

מדובר על טכנולוגיית חישה חדשנית המבוססת על ניתוח שינויים זמניים-מרחביים של תבנית פיזור של קרינה אלקטרו-מגנטית המגיעה ממכ"ם והמפוזרת מרקמה ביולוגית של אדם הנימצא מאחורי קיר. תבניות הפיזור הנוצרות עקב התאבכות עצמית של אור הלייזר ניקראות ספקלס. אלו תבניות אקראיות המשתנות בזמן כתלות בתהליכים הזמניים הקורים בתוך הרקמה הביולוגית. עי הפעלת ארכיטקטורה פשוטה של עיבוד תמונה המבוססת על התמרות שונות המופעלות על תמונת הפיזורים ניתן לשייך את השינויים המרחביים-זמניים של תבניות אלו עם מיקרו-רעידות המתרחשות ברקמה. מתוך ניתוח תבניות הרעידה שיש לאדם הנימצא מאחורי הקיר, ניתן לבצע שערוך של פרמטרים בו רפואיים שונים הכוללים פעימות לב, נשימות ועוד. בגלל שקרינת המכ"ם חודרת קירות החישה הביו רפואית מבוצעת גם ללא קו ראיה ישיר לנימדד.

מטרת הפרויקט:

פיתוח סנסור חישה בתחום ה RF ואימות פעילותו בתנאי עבודה אמיתיים

תכולת הפרויקט:

כתיבת קוד מטלב, עבודה עם חומרת RF, ביצוע ניסויי התיכנות, ניתוח תוצאות

קורסי קדם:

מבוא לאופטיקה

דרישות נוספות:

ידע במטלב או בפייתון. ידע בעבודה עם מיקרו בקרים

מקורות:

N. Ozana, R. Bauer, K. Ashkenazy, N. Sasson, A. Schwarz, A. Shemer and Z. Zalevsky, “Demonstration of a Speckle Based Sensing with Pulse Doppler Radar for Vibrations Detection,” Sensors 18(5) (2018).

313 Development and testing of fiber drawing system and for fabrication of in-fiber photonic devices
פיתוח מערכת אופטית למשיכת סיבים ולייצור התקנים פנים סיביים עבור עיבוד פוטוני
אחריות אקדמית:

הרקע לפרויקט:

במעבדה פותחה יכולת של יצירת התקנים בתוך סיב עי בניית preform מתאים וחימומו תוך הפעלת מנועי משיכה. תוכנת בקרה השולטת בצורה מבוקרת על תהליך החימום ומהירות ועוצמת המשיכה קובעת את שטח החתך של ההתקן הפנים-סיבי שמיוצר. מחקר בפרויקט זה כולל הבנה בתוכנת בקרה ושליטה ממחשב, הבנה אופטית והבנה של תהליכי תרמו-מכאניים בזכוכית

מטרת הפרויקט:

הבשלת פיתוח מערכת למשיכת סיבים ובניית התקן אופטי

תכולת הפרויקט:

ביצוע תיכנות מערכת משיכת הסיבים, ביצוע הרצות המערכת וייצור התקן. בדיקת ההתקן

קורסי קדם:

מבוא לאופטיקה

מקורות:

R. Aharoni, L. Bidani, M. Sinvani and Z. Zalevsky, “Initiatory concept of localized CO2 laser based tapering rig for realization of in-fiber devices,” Optics Engineering 51(7), 075002 (2012).

314 Pump-probe based photonic super resolution approach for failure analysis of silicon wafers and integrated circuitry
מימוש שיטה פוטונית חדשנית של סופר רזולוציה לניטור תקלות בשבבי סיליקון במיקרו אלקטרוניקה
אחריות אקדמית:

הרקע לפרויקט:

בתחום של ניתוח כשלים במעגלי מיקרו אלקטרוניקה ניסרקים שבבי הסיליקון עי מיקרוסקופ אופטי תוך הפעלת שני קרני אור. הקרן האחת המכונה probe היא בתחום הניראה שניבלע הסיליקון ומייצר נושאי מטעם חופשיים. הקרן השנייה המכונה pump היא באינפרא אדום קרוב שבמצב רגיל לא ניבלע בסיליקון אבל כתוצאה מיצירת האלקטרונים החופשיים, קרן זו מקבלת הפסדים ופיזורים הגורמים להצרות הכתם שנוצר. כך שבצמב של סריקת שבב הסיליקון עי שתי הקרניים בו זמנית אפשר למפות שת השבב ברזולוציה מרחבית גבוהה מאוד המתאימה למה שנידרש כדי למפות התקני ננו-אלקטרוניקה כנידרש באפליקציית ניתוח כשלים. הפרויקט כולל שימוש בתכונה לא לנארית אופטית הקורת בסיליקון לצרכי סופר רזולוציה ויישום יכולת זו לאפליקציית ניתוח כשלים במעגלים משולבים.

מטרת הפרויקט:

ביצוע ניסויי מעבדה המדגימים שיפו רזולוציית דימות בתוך שבב סיליקון בעומק של עשרות מיקרונים מתחת לפני השטח של השבב

תכולת הפרויקט:

עבודת מעבדה וניתוח תוצאות

קורסי קדם:

מבוא לאופטיקה, מוליכי גלים

מקורות:

H. Pinhas, O. Wagner, Y. Danan, M. Danino, Z. Zalevsky and M. Sinvani, “Plasma dispersion effect based super-resolved imaging in silicon,” Opt. Exp. 26, 25370-25380 (2018).