פרויקטי גמר - התמחות בננו-אלקטרוניקה, מעגלים ו-VLSI תשפ"ד

201 Physical analysis of terahertz quantum cascade laser structures
אחריות אקדמית:

הרקע לפרויקט:

לייזרי קסקדה קוונטיים מבוססי גליום ארסנייד הינם המקור היעיל ביותר לקרינת טרה-הרץ. עם זאת, טמפרטורת העבודה של לייזרים אלה מוגבלת ואינה עולה על 200 קלווין. בשל כך כדיי להפעיל את לייזרי הקסקדה הקוונטיים לתחום הטרהרץ נדרש לקרר אותם לטמפרטורות נמוכות סביב 150 קלווין. כדיי לקרר יש צורך לצמד את הלייזרים למקרר המקשה על אינטגרציה של מקורות הקרינה אלה במערכות אלקטרואופטיות. הפרויקט יעסוק בחקר הביצועים של מבני לייזרי קסקדה קוונטיים לתחום הטרהרץ במטרה להבין את מנגנוני הפעולה שלהם ולאפשר מבנים איתם יהיה אפשר לעבוד בטמפרטורת החדר.

מטרת הפרויקט:

פסקה המתארת מה ההישגים המצופים מהפרויקט ומה יהיו התוצרים הסופיים של הפרויקט

תכולת הפרויקט:

בעזרת תוכנה ייעודית (נקסט-ננו) הסטודנטים יבצעו סימולציה של מספר מבנים של לייזרי קסקדה קוונטיים לתחום הטרה-הרץ וייחלצו מהסימולציה של המבנים פרמטרים כמו עקומות זרם-מתח וספקטרום של ההגבר של הלייזר במתחים וטמפרטורות שונות.

קורסי קדם:

נטייה לקורסים פיזיקאליים. (קדם מ"למ)

דרישות נוספות:

הכירות עם תוכנת מטלאב. כישורי מחשב וסימולציה.

מקורות:

https://www.nextnano.com/customer/tutorials.php

202 Analysis of nitride-based terahertz quantum cascade lasers
אחריות אקדמית:

הרקע לפרויקט:

טמפרטורת העבודה של לייזרי קסקדה קוונטיים מבוססי גליום ארסנייד מוגבלת ואינה עולה על 200 קלווין. אחד הגורמים מגבילים הינה האנרגיה הנמוכה ליצירת פונונים בחומר זה. בגליום ניטריד לעומת זאת אנרגיה זו גבוהה פי שלוש מזו של גליום ארסנייד. בשל כך נחזה שלייזרי קסקדה קוונטיים מבוססי גליום ניטריד יוכלו לעבוד בטמפרטורת החדר. הפרויקט יעסוק בחקר הביצועים של מבני לייזרי קסקדה קוונטיים מבוססי גליום ניטריד לתחום הטרהרץ במטרה לאפשר מבנים איתם יהיה אפשר לעבוד בטמפרטורת החדר.

מטרת הפרויקט:

במהלך הפרויקט בעזרת תוכנה ייעודית (נקסט-ננו) הסטודנטים יחקרו את ביצועיהם של מבנים שונים של לייזרי קסקדה קוונטים מבוססי גליום ניטריד לתחום הטרה-הרץ. לאחר השוואה של הפרמטרים הפיזיקאליים של מספר מבנים שונים, הסטודנטים ימליצו על מבנים הצפויים לתת ביצועי טמפרטורה טובים.

תכולת הפרויקט:

בעזרת תוכנה ייעודית (נקסט-ננו) הסטודנטים יבצעו סימולציה של מספר מבנים של לייזרי קסקדה קוונטיים מבוססי גליום ניטריד לתחום הטרה-הרץ וייחלצו מהסימולציה של המבנים פרמטרים כמו עקומות זרם-מתח וספקטרום של ההגבר של הלייזר במתחים וטמפרטורות שונות.

קורסי קדם:

נטייה לקורסים פיזיקאליים. (קדם מל"מ).

דרישות נוספות:

הכירות עם תוכנת מטלאב. כישורי מחשב וסימולציה.

מקורות:

https://www.nextnano.com/customer/tutorials.php

203 Quantum Computation - Formulation and analysis of new quantum algorithms
אחריות אקדמית:

הרקע לפרויקט:

חישוב קוונטי מציע גישה חדשה ומעניינת לעבר פתרון של בעיות חישוביות מגוונות. הנושא נמצא בחזית המחקר העולמית (והישראלית) לאור הפוטנציאל הרב שלו. עם זאת, עדין קיים צורך לאפיין את אוסף הבעיות שניתן לפתור ביעילות באמצעות מחשבים קוונטיים וכפועל יוצא מכך, חשוב למצוא אלגוריתמים קוונטיים חדשים. הפרויקט שואף לאתר בעיות שהאופי שלהן תואם ליכולות המחשב הקוונטי ומסתייע בהן באופן אופטימלי, כאשר הגישה היא אנליטית ברובה ומבוססת על כלים מתחום תורת ההסתברות מחד ותורת האינפורמציה הקוונטית מאידך.

מטרת הפרויקט:

כחלק מהפרוייקט יבוצע שימוש בידע קודם שהושג בקבוצת המחקר בכדי לאתר בעיה/ות אותן קשה לפתור באמצעות מחשב קלאסי אך קל לפתור באמצעות מחשב קוונטי. כמו כן, ינוסח אלגוריתם קוונטי שאכן פותר את הבעיה ביעילות (שוב, תוך שימוש בסכמה כללית שכבר נוסחה בעבר ע"י קבוצת המחקר). בשאיפה, ניתן יהיה להכליל תוצאות אלו לעבר משפחות רחבות של בעיות עם אופי דומה.

תכולת הפרויקט:

במהלך הסמסטר הראשון הסטודנטים יכירו מושגי מפתח בחישוב קוונטי ואינפורמציה קוונטית, עם תשומת לב מיוחדת שתינתן לבעיות "אוראקל". לקראת סוף הסמסטר הראשון, הסטודנטים כבר יוכלו להשתמש בתוצרים קודמים על מנת לבחון (אנליטית) בעיות ספציפיות בכדי לראות האם קיים בהן יתרון קוונטי (במונחים של הסתברות הצלחה עבור אותה כמות שאילתות). במהלך הסמסטר השני, תאותר לפחות בעיה אחת שבה קיים יתרון ויאופיינו התכונות של השערים ושל המצבים הקוונטים שמאפשרות להגיע לאותו יתרון. ככל שיאפשר הזמן, הסטודנטים ינסו להרחיב את התוצאות הללו וישתדלו להסיק מהן מסקנות כלליות באמצעות עיון מעמיק יותר במבנה המתמטי של הבעיות הרלוונטיות ו/או האלגוריתם הקוונטי שפותר אותן.

קורסי קדם:

יש לקחת במקביל לפרוייקט את הקורס "חישוב קוונטי" (סמסטר א' תשפ"ד)

מקורות:

רקע כללי בתחום של חישוב קוונטי ניתן למצוא בפרק 1 של הספר:

Quantum Computation and Quantum Information / Nielsen and Chuang.

מידע יותר ספציפי, אבל גם יותר מתקדם שלא יהיה לגמרי ברור בשלב זה,

ניתן למצוא בפרק 6 של

https://people.maths.bris.ac.uk/~csxam/papers/thesis.pdf

204 Modeling quantum tunneling effects in resonant tunneling diodes
אחריות אקדמית:

הרקע לפרויקט:

תהליך המנהור הקוונטי הוא תהליך קוונטי בסיסי אשר במהלכו אלקטרון יכול לעבור בהסתברות מלאה מתחת למחסום פוטנציאל אפילו שאין לו מספיק אנרגיה לעשות זאת.
על בסיס התופעה הקוונטית המעניינת הזו פותחו מגוון של התקנים אלקטרוניים כמו דיודות מנהור רזונטיביות, והתקני טרנזיסטורים קוונטיים, מיקרוסקופיים מבוססי מנהור ואלקטרוניקה מולקולרית.

דיודות מנהור רזונטיביות מבוססות על שכבות דקות של ננו – חומרים אשר מונחות אחת על השנייה.

ניתן לחשב את האנרגיות הרזונטיביות שבהן אלקטרון יכול לעבור מנהור ע"י מודלים שמתארים את השכבות הננו מטריות של החומרים השונים ופתירת משוואת שרדינגר .
הוספת לייזר למערכת כזו תגרום לאינטראקציות בין אור לחומר אשר יכולים לגרום לאפקטים קוונטים חדשים שבעזרתם ניתן לתכנן גלאים קוונטים בעלי רגישות מאד גבוהה לאורכי גל .
בפרויקט זה אנחנו נבדוק כיצד הוספת לייזר משפיעה על הסתברות המעבר בהתקנים ננו מטרים כאלו , כיצד ניתן להנדס את המבנים הננו מטרים כך שאפשר יהיה לתכנן גלאי קוונטי המבוסס על אינטראקציות לייזר עם האלקטרונים במבנה הננומטרי ומנהור קוונטי רזונטיבי.

מטרת הפרויקט:

מטרת הפרויקט הוא לחקור את השפעת הלייזר על תכונות המנהור של האלקטרון במבנה ננו מטרי של מוליכים למחצה . לבנות מודל חישובי אשר מחשב את הסתברות המעבר של אלקטרון במבנה בעל שכבות דו מיימדיות של מוליכים למחצה שונים.

בעזרת מודלים חישוביים נוכל לתכנן גלאי קוונטי מבוסס על דיודות מנהור רזונטיביות . במהלך הפרויקט הסטודנט יבנה מודל תאורטי שמחשב את הסתברות המעבר של אלקטרון במבנים ננו מטרים עם ובלי השפעת לייזר. הסטודנט יחקור כיצד שינוי הפרמטרים השונים של המבנה (סוגי החומרים ועובי השכבות ) משפיעים על התופעות הקוונטיות השונות , בכדי להבין כיצד ניתן לקבל את האפקט הקוונטי בצורה אופטימלית . בנוסף הסטודנט יחפש חומרים מתאימים ע"י חישוב מבנה אלקטרוני של ננו חומרים בעזרת סימולציות מבוססות על תורת פונקציונל הצפיפות.

תכולת הפרויקט:

  • סקר ספרות על תופעות מנהור קוונטי וכיצד הן באות לביטוי בהתקנים אלקטרוניים, בדגש על דיודות מנהור רזונטיביות.
  • למידת נושא של בניית מודלים למבנים ננו מטריים , וחישוב הסתברויות מעבר עבור מודליים כאלו בשיטות נומריות ואנליטיות.
  • בניית מודל תאורטי המחשב את הסתברות המעבר של אלקטרון במבנה ננו מטרי של שכבות מוליכים למחצה.
  • הוספת אינטרקציה של לייזר למודל וחישוב ההסתברות.
  • הגשת דוח מסכם.

קורסי קדם:

83204 מכניקה קוונטית שימושית

מקורות:

  1. Interatomic Coulombic decay in two coupled quantum wells, Tamar Goldzak, Liron Gantz, Ido Gilary, Gad Bahir, and Nimrod Moiseyev Phys. Rev. B 91, 165312.
  2. Non-Hermitian Quantum Mechanics, Nimrod Moiseyev.
  3. Laser Control of Resonance Tunneling via an Exceptional Point, Anael Ben-Asher, Daniel Šimsa, Tereza Uhlířová, Milan Šindelka, and Nimrod Moiseyev Phys. Rev. Lett. 124, 253-202 .
205 Simulating structural and spectroscopic properties of point defect in 2D materials from first principle methods
אחריות אקדמית:

הרקע לפרויקט:

המהפכה הקוונטית השנייה משנה את עולם הטכנולוגיה כבר קיום. החיפוש אחרי מקור פליטה של פוטון בודד אשר יכול לפעול בטמפרטורת החדר ובעל יעילות קוונטית גבוהה מהווה נושא מחקר בהמון תחומים שונים קיום. פגמים נקודתיים בשכבות דו ממדיות הם פלטפורמה מבטיחה לפיתוח של מרכז צבע פולט אור בטמפרטורת החדר ואינטגרציה של מבנים אלו בהתקנים אופטואלקטרוניים קיימים .

קשה לקבוע את המבנה של אותם פגמים נקודתיים בתוך השכבות הדו ממדיות ולכן חישובים של מבנה אלקטרוני של חומרים , הפותרים את משוואת שרדינגר בצורה נומרית ללא ידע מוקדם מניסוי , מהווים בסיס לקביעת המבנה של פגמים אלו ויכולים לעזור בפיענוח של תכונות ספקטרוסקופיות כלומר הבנה כיצד משפיע המבנה של הפגמים בתוך השכבות על התכונות האופטיות של החומרים . סימולציות אלו יכולות לעזור בפיתוח של מקור פליטה של פוטון בודד אשר יכול להוות אבן בסיס לטכנולוגיות קוונטיות שונות , כגון : מחשוב קוונטי , גלאים קוונטים ותקשורת קוונטית.

מטרת הפרויקט:

מטרת הפרויקט הוא חישוב תכונות אופטיות ומבניות של פגמים נקודתיים בשכבות דו מימדיות על ידי סימולציות first principle ללא ידע מוקדם מניסוי .
הסטודנט יחקור כיצד מודלים תאורטיים מבניים שונים של פגמים נקודתיים בשכבות דו ממדיות המתאימות לאירוח של מרכזי צבע פולטי אור משפיעים על התכונות האופטיות של החומרים השונים. דוגמא לחומר אחד כזה הוא בורון ניטרידי הקסגונלי . הסטודנט יחקור כיצד מבנים שונים של פגמים יכולים לשנות את מצב היסוד הספיני , דבר אשר חשוב עבור פיתוח של מקור פולט פוטון בודד בטמפרטורת החדר שיכול להוות קיוביט , אבן הבסיס של מחשב קוונטי.
הסטודנט יחקור מספר שכבות וינסה מספר שיטות המבוססות על תורת פונקציונאל הצפיפות.

תכולת הפרויקט:

  • סקר ספרות על מקורות אור בודדים המבוססים על פגמים נקודתיים בחומרים.
  • סקר ספרות על שיטות חישוב של מבנה אלקטרוני המבוססות על תורת פונקציונאל הצפיפות.
  • יצירת מודלים תאורטיים למבנים אפשריים של פגמים נקודתיים בחומרים דו ממדים.
  • סימולציות של תכונות מבניות של החומרים הננו מטרים כגון אנרגית יצירה של פגמים אלו ע"י שיטות חישוב מבנה אלקטרוני המבוססות על תורת פונקציונאל הצפיפות.
  • סימולציות של תכונות ספקטרוסקופיות של החומרים הננו מטרים ע"י שיטות חישוב מבנה אלקטרוני המבוססות על תורת פונקציונאל הצפיפות והשוואה למדידות ניסיוניות.
  • הגשת דוח מסכם.

קורסי קדם:

83204 מכניקה קוונטית שימושית

מקורות:

  1. Ivády, V.; Abrikosov, I. A.; Gali, A. First Principles Calculation of Spin-Related Quantities for Point Defect Qubit Research. Npj Comput. Mater. 2018, 4 (1), 1–13. https://doi.org/10.1038/s41524-018-0132-5.
  2. Dreyer, C. E.; Alkauskas, A.; Lyons, J. L.; Janotti, A.; Van de Walle, C. G. First-Principles Calculations of Point Defects for Quantum Technologies. Annu. Rev. Mater. Res. 2018, 48 (1), 1–26. https://doi.org/10.1146/annurev-matsci-070317-124453.
  3. Goldzak, T.; McIsaac, A. R.; Van Voorhis, T. Colloidal CdSe Nanocrystals Are Inherently Defective. Nat. Commun. 2021, 12 (1), 890. https://doi.org/10.1038/s41467-021-21153-z.
206 Improving data integrity in embedded memories by applying algorithmic/statistical methods
אחריות אקדמית:

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications. One of the drawbacks of this technology is the limited data retention time (DRT) due to parasitic leakage currents.

מטרת הפרויקט:

In this project, the students will model the probability of cell failures and explore the possibilities of improving the DRT through algorithmic approaches mixed with circuit design techniques.

תכולת הפרויקט:

The project is a research project with both theoretical and implementation components, intended for both Electrical and Computer Engineering students.

קורסי קדם:

מעגלים משולבים ספרתיים 83-313

דרישות נוספות:

Verilog/Virtuoso/Matlab/C/Python

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5
207 Advanced peripheral and control circuits and techniques for GC-eDRAM
אחריות אקדמית:

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a dynamic storage technology that presents an alternative to standard SRAM for various applications. In this project, novel circuit techniques will be developed for GC-eDRAM based memories to improve performance, power, and area (PPA) costs.

מטרת הפרויקט:

The project outcome is a novel technique for improving the GC-eDRAM technology

תכולת הפרויקט:

This work will include the investigation of sophisticated refresh schemes, advanced write-back techniques, and others. This research project will include Virtuoso based simulation in advanced CMOS nodes

קורסי קדם:

מעגלים משולבים ספרתיים 83-313

דרישות נוספות:

The project will include Virtuoso simulations and possibly layout, digital (Verilog) design and other chip design skills.

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5
208 In-memory computing using the GC-eDRAM
אחריות אקדמית:

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a memory technology that has been shown to be an interesting alternative to standard SRAM for various applications. One of the drawbacks of this technology is the limited data retention time (DRT) due to parasitic leakage currents.

מטרת הפרויקט:

The unique features of the GC-eDRAM memories, require specialized memory block design, but also an opening for implementing in-memory logical computations with very little overhead. For example readout of an logical "NOR" function result for two adjacent memory rows can be performed in such a memory with very little overhead. In this project we aim to design a GC-eDRAM memory that will allow to perform various logical computation with data stored in the memory.

תכולת הפרויקט:

The students will develop a unique memory design and architecture. They will be required to suggest and implement novel ideas in memory design and run various simulations to prove the suggested memories reliability.

קורסי קדם:

Digital Integrated Circuits (83-313)

דרישות נוספות:

Running Simulations in Virtuoso and layout

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5
209 Chip Identification Circuit (Fingerprint) using SRAM Physical Unclonable Functions (PUF)
אחריות אקדמית:

הרקע לפרויקט:

In the modern era, there is a huge amount of secured data transfer involving credit cards, autonomous vehicles, IOT, etc. It is essential for data centers to be able to identify users accurately and securely. A new category of circuit called Physical Uncloneable Functions (PUF) has been utilized to provide secret encryption keys and authentication. The PUFs use random transistor mismatch to generate digital codes, which are unknown even to the device manufacturer. The problem with PUF are that they also have many flaky bits which can change due to noise effects, requiring the use of complex error correction algorithms.

מטרת הפרויקט:

In this project, several novel techniques will be utilized to improve the reliability and robustness of the basic PUF cell. These techniques involve a combination of analog, digital and device physics concepts. During the course of this work, you will design a novel PUF array as well as its readout circuitry, all of which will be implemented in a Si IC. This is an original idea whose successful implementation can result in an academic publication.

תכולת הפרויקט:

In this project the student will design a PUF using digital and analog techniques. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance. This project will include a tapeout and Silicon measurements. The successful conclusion of this project may lead to an academic publication. Since there are several topologies here, there can be more than one project available.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – מומלץ

דרישות נוספות:

a lot of motivation!!

מקורות:

  1. G. Schrijen, “Scalable Security for IoT” in ISSE 2015, Berlin, Germany.
  2. C. Herder, Y. Meng-Day, F. Koushanfar, S. Devadas, "Physical Unclonable Functions and Applications: A Tutorial", Proceedings of the IEEE, vol. 102, no. 8, pp. 1126 – 1141, (2014
210 Ring Oscillator Based Amplifier for Sensor Applications (Daniel)
אחריות אקדמית:

הרקע לפרויקט:

Analog Amplifiers are complex circuits which require a lot of hand-tuning and are not scalable. Recently, a new type of amplifier has emerged which is much more “digital”. This is called a “Ring Ampifier” which essentially uses a 3 stage ring oscillator as an amplifier. It can be much more compact and simpler than a corresponding analog circuit. In principle, the basic elements of the amplifiers are CMOS inverters, not current sources or analog drivers. This amplifier can be much faster and lower power than conventional analog amplifiers.

מטרת הפרויקט:

In this project you will design a ring amplifier and use it in an application such as analog-to-digital conversion or voltage regulation. These are generally application which are left to analog circuits, so this amplifier will make these circuits more “digital”. The ring amplifier will be utilized in one of these applications.

תכולת הפרויקט:

You will need to learn the theory and then implement the circuit in 65nm CMOS. An application will be chosen for the ring amplifier circuit. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance. Since there are several applications here, there may be more than one project available.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – חובה

דרישות נוספות:

a lot of motivation to work hard!!!

מקורות:

  1. B. Hershberg, “Ring Amplifiers for Switched Capacitor Circuits”, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 47, NO. 12, DECEMBER 2012, pp. 2928.
  2. Jun-Eun Park, et. al. “A 0.4-to-1.2V 0.0057mm2 55fs-Transient-FoM Ring-Amplifier-Based Low-Dropout Regulator with Replica-Based PSR Enhancement” ISSCC 2020 Digest of Technical Papers, pp. 492-3.
211 Mixed-analog /digital Capacitive readout circuit for mechanical and Optical sensors
אחריות אקדמית:

הרקע לפרויקט:

There are many types of sensors which change the value of a capacitor upon sensing a physical parameter, like pressure, fluid level, mechanical stress and other. This change in capacitance must be detected by a readout circuit, which can be either an analog circuit (analog to digital converter) or a digital circuit in some cases (like a frequency based converter).

מטרת הפרויקט:

In this project an analog/digital capacitance-to-digital readout circuit will be designed for mechanical sensors. These sensors are useful to measure a physical/mechanical parameter, such as pressure/vibration/acceleration/ ultrasound or optical excitation. The sensing capacitor is sensitive to the physical parameter and its capacitance changes linearly during the sensing. The interface circuit is based on two ring oscillators, which drive programmable capacitors. One of the capacitors is the sensing capacitor, while the second capacitor is a known reference capacitor. Each ring oscillator will generate a frequency, which is proportional to the capacitor which it drives. Several algorithms will be used to cancel the offset between the two ring oscillators. The result will be a digital word which is linearly proportional to the sensed parameter. It will be attempted to develop a novel circuit which is competitive with state-of-the-art sensors reported in the literature.

תכולת הפרויקט:

Students involved will survey the prior-art sensors and understand their performance level. After this, a ring oscillator sensor will be designed and simulated using virtuoso tools. The sensor will be compared to the state-of-the-art. An outstanding project may even be able to tape-out the sensor to achieve measured results. This project may lead to a journal publication and can be extended to a Master’s thesis.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – מומלץ

דרישות נוספות:

a lot of motivation!!

מקורות:

  1. Capacitance-to-Digital Converter for Operation Under Uncertain Harvested Voltage down to 0.3V with No Trimming, Reference and Voltage Regulation” by Orazio AIELLO, Paolo CROVETTI and Massimo ALIOTO. International Solid State Circuits Conference 2020, pp. 74.
212 Analog to Digital Converter based the SAR (Successive Approximation Register) Algorithm
אחריות אקדמית:

הרקע לפרויקט:

Analog to digital converters are used to transfer real-world information, which is analog, to the digital domain for further signal processing. This is an extremely important function which is prevalent in all computer systems. There is a constant battle to improve performance, lower power, increase bandwidth and other performance parameters.

מטרת הפרויקט:

In this project you will design a highly compact analog to digital converter (ADC) using the SAR (Successive Approximation Register). The SAR topology is one of the most attractive for low power and compact ADC applications. It is also a relatively simple architecture conceptually and lends itself to many types of optimizations to improve accuracy, reduce area and enable low power operation. You will need to learn the theory and then implement the circuit in 65nm CMOS. The SAR ADC includes both analog and digital blocks, which will allow you to develop skills in both.

תכולת הפרויקט:

In this project the student will design a SAR ADC using digital and analog techniques. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – מומלץ

דרישות נוספות:

a lot of motivation!!!

מקורות:

  1. Analog Integrated Circuit Design – Tony Chan Carusone, David Johns and Kenneth Martin – John Wiley Publishers. Chapters 16 and 17
  2. “A compact 10-b SAR ADC with unit-length capacitors and a passive FIR filter” P Harpe IEEE Journal of Solid-State Circuits 54 (3), 636-645
213 Frequency Locked Loop Circuit for High Frequency IC Clocks
אחריות אקדמית:

הרקע לפרויקט:

A stable clock source is one of the most important requirements for integrated circuit designs. Fully integrated on-chip generation of a clock source has become more important as system-on-chip designs have proliferated. More specifically, wireless sensor nodes for Internet-of-Things (IoT) applications have a small form factor and limited board space, making it difficult to integrate crystal oscillators, especially for implantable applications. An on-chip oscillator requires low power consumption and energy per cycle, frequency stability over varying ambient temperatures, long-term stability, and low supply voltage sensitivity. Low oscillator power consumption is important in a system with low activity where the standby current dominates the total power consumption, as is the case with a wake-up timer or a sleep mode timer. An oscillator must also show good frequency stability and resistance to temperature supply voltage and random variations. At low voltage and low power, this becomes even more challenging.

מטרת הפרויקט:

In this project, several novel techniques will be utilized to design an FLL. These techniques involve a combination of analog, digital and device physics concepts. During this work, you will design a novel FLL, all of which will be implemented in a Si IC.

תכולת הפרויקט:

In this project the student will design an FLL using digital and analog techniques. The schematics will be prepared in Virtuoso and simulated. Layout and post-layout simulations will be conducted to verify the circuit performance. This project will include a tapeout and Silicon measurements. The successful conclusion of this project may lead to an academic publication.

קורסי קדם:

  • 768330301 אלקטרוניקה לינארית - חובה
  • 768332501 מעבדה למעגלים אנלוגיים – חובה
  • 8330801 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 768361101 מעגלים משולבים אנלוגיים – מומלץ

דרישות נוספות:

a lot of motivation to work hard!!!

מקורות:

  1. M. Choi, T. Jang, S. Bang, Y. Shi, D. Blaauw and D. Sylvester, "A 110 nW Resistive Frequency Locked On-Chip Oscillator with 34.3 ppm/°C Temperature Stability for System-on-Chip Designs," in IEEE Journal of Solid-State Circuits, vol. 51, no. 9, pp. 2106-2118, Sept. 2016, doi: 10.1109/JSSC.2016.2586178.
  2. A. Djemouai, M. A. Sawan and M. Slamani, "New frequency-locked loop based on CMOS frequency-to-voltage converter: design and implementation," in IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, vol. 48, no. 5, pp. 441-449, May 2001, doi: 10.1109/82.938354.
  3. D. S. Truesdell, A. Dissanayake and B. H. Calhoun, "A 0.6-V 44.6-fJ/Cycle Energy-Optimized Frequency-Locked Loop in 65-nm CMOS With 20.3-ppm/°C Stability," in IEEE Solid-State Circuits Letters, vol. 2, no. 10, pp. 223-226, Oct. 2019, doi: 10.1109/LSSC.2019.2946767.
214 Read out circuitry for a GC-eDRAM memory array
אחריות אקדמית:

הרקע לפרויקט:

זכרונות מוטמעים מהווים חלק משמעותי וחשוב ממערכות SOC כיום, ולכן יש צורך לייצר מערכות חסכוניות יותר בשטח ובהספק. כרגע ארכיטקטורת הזכרון השולט בשוק הינו הSRAM המורכב ממינימום של 6T (six transistors). ארכיטקטורת הGC-eDRAM מוצג כאלטרנטיבה אפשרית לSRAM כיוון שהיא בנויה מ2-4T ולכן יעילה יותר בשטח. החסרון המשמעותי בזכרון זה היא תכונת הדינמיות שלה, שמחייבת פעולות רענון מחזוריות בכדי לשמר את המידע. מטרת הפרוייקט היא לתכנן וליצור מערכת קריאה מתוחכמת שתאפשר לקורא את המידע האגור בזכרון בצורה מדויקת ובכך להאריך את הזמן הנצרך בין מחזורי רענון.

מטרת הפרויקט:

בפרוייקט תתכנו ארכיטקטורה שלמה של מערכת קריאה מזכרון, הכולל מעגל sense-amplifier, מעגלים אנלוגים נוספים התומכים בSA ומעגלים דיגיטלים התומכים בקריאה. תתכן אפשרות להגיע אף לשלב הלייאוט במעגלים, ואפילו לייצור צ'יפ ממש.

תכולת בפרויקט:

תכנון וייצור מעגלים אנלוגים בוירטואוזו (החל מהסכמה ועד ללייאוט)
כתיבת מעגלים דיגיטלים בורילוג

קורסי קדם:

  • 83303 אלקטרוניקה לינארית – חובה
  • 83325 מעבדה למעגלים אנלוגיים – חובה
  • 83308 מעגלים אלקטרוניים ספרתיים – חובה
  • 83315 מעבדה מעגלים אלקטרוניים ספרתיים – חובה
  • 83611 מעגלים משולבים אנלוגיים – מומלץ


דרישות נוספות:

a lot of motivation

מקורות:

64-kB 65-nm GC-eDRAM With Half-Select Support and Parallel Refresh Technique O Harel, EN Casarrubias, M Eggimann, F Gürkaynak, L Benini, A Teman, ...
IEEE Solid-State Circuits Letters 5, 170-173

215 Electromagnetic Information Leakage on Silicon Chips – Simulation Tools and Circuit Analysis
אחריות אקדמית:

הרקע לפרויקט:

במערכות ננואלקטרוניות יש צימוד אינפורמציה מחלק אחד של המעגל (המגיעה למשל מחלקים לוגיים \מעבדים \ מאפננים) לחלקים אחרים (מעגלים אחרים \ מגברים \ אנטנות ומשדרים), פשוט בגלל שהכל "קרוב". באופן טיפוסי אנחנו מתייחסים לבעיה כבעיית אמינות (crosstalk, reliability, signal -integrity), אך בפרויקט זה נשים את המשקפת של אבטחה (security), נמדל, נסמלץ את מעבר האינפורמציה דרך כלי מידול אלקטרומגנטיים המתאימים לשבבי סיליקון מתקדמים.

מטרת הפרויקט:

מידול פליטת האינפורמציה, מימוש מעגלי הבחינה והתוצר הסופי ניתוח אלקטרומגנטי והגדרת מטריקת לכימות פליטת האינפורמציה וניתוח התוצאות.

תכולת הפרויקט:

הסטודנטים ילמדו ספרות קודמת. הסטודנטים יממשו (בעזרתנו) מעגלי "משדר" (מעגלים לוגיים הפולטים או מעבדים אינפורמציה סוגית) ומעגלי מקלט (מגבר, מאפנן ואנטנה-משדר), יאפיינו ויפעילו כלים אלקטרומגנטיים מתקדמים על גבי סביבת התכנון ויבצעו אנליזה state-of-the-art בשימוש בכלים מתקדמים אלו לניתוח זליגת אינפורמציה.

קורסי קדם:

  • שימוש בכלי תכנון מעגלים למשל וירטואוזו - חובה - אז אם לקחתם קורסים מתקדמים בננו זה יכול לעזור.
  • ידע באנלוג -ייתרון אך לא חובה


דרישות נוספות:

רצון ומוטיבציה לשיפור יכולות עם כלים טכניים (כלים אלקטרומגנטיים) ורכישת ידע ויכולות ניתוח.

יכולות אנליטיות גבוהות ושליטה כללית טובה בעבודה עם כלי סימולציה.
נכונות להיקף עבודה משמעותי.

מקורות:

ספרות ממוקדת והנחייה קרובה תינתן לבעלי עניין (והתאמה) בפרויקט

216 eDRAM circuit design for high performance chip integration
אחריות אקדמית:

הרקע לפרויקט:

זכרונות הם חלק עיקרי בצ'יפים היום ולכן נדרש תכנון רב בשביל לממש זכרון יעיל ביותר מבחינת שטח, מהירות וצריכת הספק. יש הרבה עבודה היום על למצוא ארכיטקטורה משופרת למאקרו של זכרונות.

מטרת הפרויקט:

המטרה היא לבנות זכרון דינאמי מהיר יותר אשר יהיה מותאם לאפליקציות שונות - כלומר לעבוד בתנאים שונים כגון טמפרטורה. הפרוייקט ננסה לחשוב על זכרון יעיל ולממש אותו לרכיב IP שלם ומוכן, תוך התחשבות בפרמטרים השונים המשפיעים עליו ועל היכולות שלו.

תכולת הפרויקט:

נחקור זכרונות קיימים היום ונתכנן מערך זכרון ייחודי ומותאים לדרישות שלנו. נבצע סימולציות מקיפות הרלוונטיות להבנת ההשפעות על זכרונות בצ'יפים שקיימים היום בשביל לשפר את הביצועים. זה ייעשה במערכת וירטואוזו.

קורסי קדם:

מעבדת ספרתיים, וקורס מעגלים משולבים

דרישות נוספות:

וירטואוזו

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip

217 Design of advanced DRAM architecture for low power applications
אחריות אקדמית:

הרקע לפרויקט:

כיום רוב השטח של הצ'יפים אותם אנו רואים בכל מכשיר אלקטרוני יום-יומי מנוצל על ידי הזכרונות, לכן יש להם חשיבות רבה וקריטית כאשר מתכננים אותם. לשם כך, מנסים תמיד לפעול להקטנת שטחם, הקטנת צריכת ההספק שלכם ולייעל את הפונקציונליות. פרמטרים רבים נלקחים בחשבון הן ברמת ההתקן הבודד וגם למעלה בהיררכיית מימוש המאקרו של הזכרון.

מטרת הפרויקט:

תכנון ארכיטקטורה של זכרון יחד עם הפריפריות הנלוות אליו תוך כדי התחשבות בפרמטרים שיביאו לצמצום בשטח, הספק ומהירות רבה של הבלוק. ניצור ברמת ההתקן את הזכרון הדיגיטלי יחד עם הפריפריות השונות שנצטרך על מנת להפעיל את הזכרון לקריאה וכתיבה נכונה. נלמד על עקרונות תכנון בעולם ה VLSI, אשר בצמיחה אדירה בימנו.

תכולת הפרויקט:

בפרוייקט זה, הסטודנטים יעבדו עם מערכת virtuoso בה הם יבנו את המעגל ברמה הלוגית לסימולציות על המערך ולאחר מכן יצירת לייאוט לארכיטקטורה. תחילה, ידרשו לתכנן איך יעבוד הזכרון ואילו פריפריות יידרשו על מנת ליצור בלוק יעיל ומשופר שיפיעלו את הזכרון.

קורסי קדם:

מעגלים משלובים או/ו מעגלים ספרתיים (יחד עם המעבדה)

דרישות נוספות:

ידע ב virtuoso

מקורות:

Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip - book

218 Design and implementation guided architecture for Multi Ported Content-Addressable Memory
אחריות אקדמית:

הרקע לפרויקט:

זיכרונות הינן אבן הבניין המרכזי בשבבים אלקטרוניים כיום וממומשים במגוון טכנולוגיות עבור צרכים שונים. בפרויקט זה, נתמקד בזיכרונות CAM מרובה פורטים הממומשים באמצעות תאים סטנדרטיים.

מטרת הפרויקט:

בפרויקט הזה נתעסק בלתכנן ליישם ולהרחיב בלוק שבמהותו הוא זיכרון, אבל מתוחכם יותר מ RAM סטנדרטי וכולל גם מעגלים דיגיטליים חישוביים.

תכולת הפרויקט:

בפרויקט תצטרכו ללמוד היטב את מבנה היחידה, לעבוד על האלגוריתמים לפיתוח, לפתח ארכיטקטורת חומרה מתאימה, וליישמה. להשתמש בכלי CAD מתקדמים בעזרת כלי חדשני למיקום מונחה שפיתחנו בבר-אילן.

קורסי קדם:

מעגלים אלקטרוניים ספרתיים 83-308.
מעגלים משולבים ספרתיים 83-313

מקורות:

https://en.wikipedia.org/wiki/Content-addressable_memory

219 Applying and developing novel quantum chemistry electronic structure methods for calculating 2D materials properties
אחריות אקדמית:

הרקע לפרויקט:

חישוב תכונות של חומרים ומולקולות כיום הינו תחום רחב הנותן מענה לניבוי תכונות של חומרים חדשים ועוזר בהבנת מנגנונים של תגובות כימיות ומעבר של אלקטרונים בין ממשקים של חומרים שונים.
שיטות חישוב של מבנה אלקטרוני של מוצקים (electronic structure methods) מבוססות כיום בעיקר על תורת פונקציונאל הצפיפות . תורה זו הינה יעילה חישובית ונותנת תוצאות טובות עבור תכונות מסוימות של חומרים, אבל מתקשים בניבוי של תכונות אחרות .
שיטות חישוב מבנה אלקטרוני אשר מבוססות על כימיה קוונטית , פותרות את משוואת שרדינגר האלקטרונית בצורה נומרית ובעזרת תורת ההפרעות מרובת חלקיקים. כיום הן בעיקר משמשות לחישוב של מולקולות קטנות עד בינוניות , הם שיטות שניתן להגיע לדיוק גבוה מאד בניבוי תכונות של מולקולות , וככול שעולים בסיבוכיות של השיטה כך גם הדיוק עולה .
בשנים האחרונות יש התקדמות בפיתוח של שיטות אלו עבור חומרים בעלי מבנה מחזורי כגון מוצקים ומשטחים. שיטות אלו הראו תוצאות טובות עבור ניבוי של תכונות אופטיות של מוצקים , סיפוח של מולקולות על פני שטח ועוד.
חומרים דו ממדיים הם בחזית הטכנולוגית של יישומי אנרגיה והתקני אופטואלקטרוניקה חדשים , החיפוש המתמיד אחרי חומרים חדשים כיום נמצא בתחומי טכנולוגיה ומחקר רבים.
בפרויקט זה אנחנו נפתח וניישם שיטות חישוב המבוססות על כימיה קוונטית עבור חישוב תכונות של חומרים דו ממדיים וסיפוח של מולקולות על משטחים אלו.

מטרת הפרויקט:

מטרת הפרויקט הוא חישוב תכונות של חומרים דו מימדיים על ידי שיטות המבוססות על כימיה קוונטית ללא ידע מוקדם מניסוי ( ab-initio ).
הסטודנט ייפתח שיטות חישוב יעילות , המבוססות על תורת ההפרעות ויישם אותם על חומרים דו ממדיים. הסטודנט ישווה זמן חישוב של אלגוריתם של השיטה החדשה ביחס לשיטות הקודמות.
הסטודנט יחקור שיטות שונות ויחשב תכונות שונות של חומרים וישווה את התוצאות לשיטות המבוססות על פונקציונאל הצפיפות .
הסטודנט יחקור חומרים שונים בעלי שכבה אחת וגם בעלי כמה שכבות עם חומרים שונים .

תכולת הפרויקט:

• סקר ספרות על חומרים דו מימדיים ושיטות לחישוב תכונות שלהם.
• סקר ספרות על שיטות חישוב של מבנה אלקטרוני המבוססות על תורת הפרעות רב גופית.
• כתיבת קוד עבור פיתוח השיטות החדשות .
• סימולציות של תכונות מכניות של החומרים הדו ממדיים והשוואת התוצאות לניסוי ולשיטות קיימות.
• השוואת חסכון בזמני חישוב וזיכרון של השיטה החדשה לעומת שיטות אחרות .
• הגשת דוח מסכם.

קורסי קדם:

מכניקה קוונטית שימושית, 83204

מקורות:

  1. “Accurate thermochemistry of covalent and ionic solids from spin-component-scaled MP2”, T.Goldzak et al, J. Chem. Phys. 157, 174112 (2022) https://doi.org/10.1063/5.0119633.
  2. Gaussian-based coupled-cluster theory for the ground-state and band structure of solids, James McClain, Qiming Sun, Garnet Kin-Lic Chan, Timothy C Berkelbach, . Chem. Theory Comput. 2017, 13, 3, 1209–1218, https://doi.org/10.1021/acs.jctc.7b00049.
  3. Second-order Møller–Plesset perturbation theory applied to extended systems. II. Structural and energetic properties, Andreas Grüneis; Martijn Marsman; Georg Kresse, J. Chem. Phys. 133, 074107 (2010) , https://doi.org/10.1063/1.3466765.
  4. Modern Quantum Chemistry: Introduction to Advanced Electronic Structure Theory, by Attila Szabo , Neil S. Ostlund.
220 Innovative Logic Families for Low Power and High-Performance digital design
אחריות אקדמית:

הרקע לפרויקט:

עד כה פותחו בקבוצה שלנו מספר לוגיקות שמטרתן להשיג אופטימליות במהירות והספק של תכנון מעגלים דיגיטליים.

לוגיקת Dual Mode Logic הינה לוגיקה המשלבת בין לוגיקת cmos הנפוצה, ובין לוגיקה דינאמית, ברמת השער (nand/nor וכדומה). בלוגיקה זו קיימים שני מצבי פעולה:

  • מצב סטטי- שבו יש חיסכון באנרגיה אך ביצועים נמוכים.
  • מצב דינמי- שבו הביצועים גבוהים אך צריכת האנרגיה גבוהה.

שילוב בין המצבים מביא לשיפור הן במהירות הפעולה והן בצריכת ההספק. הלוגיקה הראתה תוצאות טובות מאוד בטכנולוגיות שונות ובארכיטקטורות שונות.
מעבר ללוגיקת DML, ישנן עוד לוגיקות שפותחו במעבדה כמו DMPL (Dual Mode Pass Logic) שגם הראתה יעילות רבה.

בפרויקט נרצה לפתח ולהשתמש במגוון לוגיקות חדשניות שיוכלו לתת שיפור מקסימלי במהירות ובצריכת ההספק.

מטרת הפרויקט:

מימוש מעגלים דיגיטליים מהירים ודלי הספק באמצעות לוגיקות חדשניות.

תכולת הפרויקט:

בחינת מימוש לוגיקות חדשניות עבור יצירת מעגלים דיגיטליים ובחינת ארכיטקטורות שונות באמצעותן.
העבודה הינה באמצעות תוכנת virtuoso בטכנולוגיות מתקדמות.

קורסי קדם:

  • קורס מעגלים ספרתיים 83-308
  • מעבדה למעגלים ספרתיים 83-315
  • מעגלים משולבים ספרתיים 83-313


מקורות:

  1. I. Levi and A. Fish, "Dual Mode Logic—Design for Energy Efficiency and High Performance," in IEEE Access, vol. 1, pp. 258-265, 2013, doi: 0.1109/ACCESS.2013.2262015.
  2. I. Stanger, N. Shavit, R. Taco, L. Yavits, M. Lanuzza and A. Fish, "Robust Dual Mode Pass Logic (DMPL) for Energy Efficiency and High Performance," 2020 IEEE International Symposium on Circuits and Systems (ISCAS), Seville, Spain, 2020, pp. 1-5, doi: 10.1109/ISCAS45731.2020.9181127.
221 Electrolyte Impedance Spectroscopy
אחריות אקדמית:

הרקע לפרויקט:

Electrochemical Impedance Spectroscopy (EIS) can provide detailed information regarding biochemical processes and electrolyte composition.

The EIS can provide insights about solution composition or the nature of the grafting or plated material on the electrodes [1].

The EIS can also be used in synthetic biology-based sensors [2]

A setup of 2,3,4 electrode setup [1,3] can be used for obtaining the measurements for a specific challenging problem. The advantages and the disadvantages of each strategy will be evaluated.

The PalmSens [4] commercial potentiostat system and the screen printed electrodes will be used as a baseline system for designing lower cost solution EIS setup which will be characterized and tested in this project.

This project is a collaboration with Prof. Drazen Jurisic (https://www.fer.unizg.hr/en/drazen.jurisic ) from Department of electronics in Zagreb University (he is an expert in analog circuits).

מטרת הפרויקט:

This project is about understanding electrochemical impedance spectroscopy (EIS) and exploring potential applications for EIS

תכולת הפרויקט:

In this multi-disciplinary project, the student will learn how Electrochemical Impedance Spectroscopy can be used for material characterization. The project includes integration of analog and digital circuitry with wet biochemical material (optional).

קורסי קדם:

  • מעגלים משולבים

מקורות:

  1. Wang, S., Zhang, J., Gharbi, O. et al. Electrochemical impedance spectroscopy. Nat Rev Methods Primers 1, 41 (2021). https://doi.org/10.1038/s43586-021-00039-w
  2. Din, M. Omar, et al. "Interfacing gene circuits with microelectronics through engineered population dynamics." Science advances 6.21 (2020): eaaz8344. (https://www.science.org/doi/10.1126/sciadv.aaz8344 )
  3. Franks, Wendy, et al. "Impedance characterization and modeling of electrodes for biomedical applications." Biomedical Engineering, IEEE Transactions on52.7 (2005): 1295-1302.‏
  4. Palmsens 4 Potentiostat , (https://www.bioanalytics.co.il/products/electrochemistry/palmsens-4-pot… )
222 Applications of Machine Learning in Computational Spectroscopy
אחריות אקדמית:

מטרת הפרויקט:

מימוש של שיטות שונות בניתוח נתונים ספקטראליים

תכולת הפרויקט:

השתתפות במדידת נתונים, קידוד וניתוח תוצאות בסביבת פייתון

קורסי קדם:

מבוא ללמידת מכונה, יסודות התקני מל״מ

מקורות:

 

  1. Yuan, S., Naveh, D., Watanabe, K. et al. A wavelength-scale black phosphorus spectrometer. Nat. Photon. 15, 601–607 (2021). https://doi.org/10.1038/s41566-021-00787-x
  2. Shaofan Yuan et al. Geometric deep optical sensing. Science379, eade1220(2023). DOI:10.1126/science.ade1220
223 Exploring the Optical Properties of Single-Atom Thick Metal films in Dielectric Environment
אחריות אקדמית:

הרקע לפרויקט:

אנו מודדים את התכונות האופטיות של מתכות בצורתן כשכבה חד-אטומית וחוקרים את הפיסיקה של אינטראקציית אור-חומר בחומרים מסוג זה

מטרת הפרויקט:

ההישג המצופה הוא יצירת בסיס נתונים המבוססים על מדידות ספקטרוסקופיה ועבודה על מודל תיאורטי המסביר את אופי האינטראקציה של האור עם שכבות אטומיות מתכתיות

תכולת הפרויקט:

ביצוע מדידות ולימוד של מודלים לניתוח התוצאות

קורסי קדם:

גלים אלקטרומגנטיים, יסודות התקני מל״מ, מכניקה קוונטית

דרישות נוספות:

פיסיקה של מצב מוצק, אינטראקציה של אור עם חומר

מקורות:

  1. https://pubs.acs.org/doi/pdf/10.1021/acsnano.9b01651
  2. https://pubs.acs.org/doi/full/10.1021/acsnano.2c07347
  3. https://onlinelibrary.wiley.com/doi/pdfdirect/10.1002/adma.202008779
224 Memory Attached Neural-Network Inference Accelerator

אחריות אקדמית:

הרקע לפרויקט:

רשתות נוירונים עמוקות הן הבסיס לאפליקציות רבות בחיינו כגון זיהוי פנים, עיבוד קול, ועיבוד שפה. מתוך הארכיטקטורות הקיימות כיום, ארכיטקטורה מבוססת מנוע קונבולוציה הינה הפופולארית ביותר בתחומים רבים ובעיקר בתחום עיבוד התמונה. ככל שרשתות אלו גדלות אנו נתקלים בשתי בעיות עיקריות: הראשונה היא צריכה של משאבי חישוב רבים. השניה היא שימוש רב בזיכרון. במהלך השנים התפתחו מאיצי רשתות שונות על מנת להתמודד עם בעיות אלו. מאיצים אלה מתחלקים בין שתי קטגוריות עיקריות:

  1. Hardware accelerator for deep neural network training.
  2. Hardware accelerator for deep neural network inference.

מטרת הפרויקט:

במעבדת ENICS פיתחנו את MANNIX שהוא מאיץ חומרה המיועד לקטגוריה השנייה (inference). על בסיס ידע שנצבר מפרויקטים קודמים, בפרוייקט זה ניקח את נעשה לפרוייקט upscale ונאפשר תמיכה במודלים המוגדרים כstate of the art ובתמונות בגודל מלא .

תכולת הפרויקט:

מטלות הסטודנטים בפרוייקט זה הן:

  • תמיכה ברשתות CNN עם features בעלי מימדים לא ידועים.
  • הוספת תמיכה בקוונטיזציה של מודל.
  • דחיסת זיכרון באמצעות פיתרון חומרתי.


קורסי קדם:

קורס עקרונות של תכנון מערכות דיגיטליות (8383607), קורס מעגלי ומערכות VLSI דיגיטליים (8383621)

דרישות נוספות:

למידת מכונה ובפרט למידה עמוקה, ידע בסיסי בשפת ורילוג ושפת c

מקורות:

  1. https://en.wikipedia.org/wiki/Convolutional_neural_network
  2. https://arxiv.org/abs/1704.04861
  3. https://arxiv.org/abs/1512.03385
  4. https://www.tensorflow.org/lite/performance/post_training_quantization
225 Comparing CI-CD Methods in Hardware Design
אחריות אקדמית:

הרקע לפרויקט:

בחברות בתחום הVLSI יש תהליך ארוך עד לשליחת הרכיב לTapeout. במהלך הדרך ישנה כתיבת קוד בשפות RTL, בדיקות נכונות לוגיות ויישום ברמת הBEOL. בכל שלב ישנה חלוקה בין רמות שונות של הרכיבים, רמת יחידה (Unit), אשכול (Cluster) וצ'יפ כולל (Full Chip).

מכיוון וכל שלב תלוי בקודמו, תהליכים אלו מתרחשים בשיטת הPipeline כאשר כל יחידה שולחת את הפיתוח שנעשה בחלקים על מנת שהרמה מעל תוכל להתחיל לעבוד ולקדם את המשך הפרוייקט. שיטה זו כמובן מייעלת זמנים.

שיטה זו מעלה צורך לייצור מנגנון אשר משלב קוד חדש בקוד הקיים בצורה 'חלקה'. בשונה מעולם התוכנה בו משחררים גרסא ראשונית ועליה מדביקים עדכונים שמשפרים ביצועים או מוסיפים תכונות חדשות לגמריי, בעולם החומרה נדרש לבצע את האינטגרציה במהלך שלבי התכנון. בכל שלב בתהליך המהנדס משחרר גרסא לשלב הבא בתהליך התכנון רק לאחר עמידה ברשימה של דרישות מסויימות בהתאם לשלב בתהליך. הרשימה כוללת בתוכה דברים מגוונים אשר משתנים בין חברה לחברה, והכרחיים לניהול מיטבי של הפרוייקט מתחילתו ועד לTapeout. הרשימה ארוכה וכוללת בתוכה דרישות להתנהלות ברמת הקוד החומרתי, בדיקת רגיסטרים וטבלאות, התיישרות למתודולוגיה פנים-חברה ועוד. על מנת לייעל זמני עבודה ולחסוך שעות מהנדס, ברצוננו לייצר סביבת עבודה שבהינתן קונפיגורציה מתאימה תדע לעבור על הרשימה אל מול הקוד ולהדפיס דו''ח מפורט על כלל השלבים בזמן מינימלי.

מטרת הפרויקט:

היא להשוות בין שיטות שונות של CI-CD בתכנון רכיבי חומרה והתאמה שלהם לדרישות פנים-חברה.

תכולת הפרויקט:

בפרויקט נבחן שיטות שונות של CI-CD וניישם אותן בצורה המיטבית לדרישות החברה.
נכתוב סביבת עבודה שתאפשר להריץ בדיקות ברמות שונות בתהליך, לאחד את המידע לדו"ח לצורך תיקון ואף לשחרר גרסא לרמה הבאה.
סביבת העבודה תיכתב בשפת "Python" ותפעל על גבי מערכת ההפעלה "Unix".
סביבת העבודה תהיה עם GUI כך שהשימוש יהיה פשוט ו"User-Friendly".
הביצוע יהיה 'חדשני' בכך שייעזר בכלים עדכניים של Generative AI על מנת לייעל את כתיבת קוד הפרוייקט.

קורסי קדם:

אין

דרישות נוספות:

אין

מקורות:

  1. Continuous Integration and Delivery in Hardware Design - Nicholas R. Perlland (ISU)
  2. Applying Continuous Integration to Hardware Design and Verification - Francois Cerisier and Christian Rivier


 

226 TX Fabrication verification environment
אחריות אקדמית:

הרקע לפרויקט:

שדרוג הכולל של הצ'יפ שלנו לתמוך בדור 6G, אנו נדרשים לממש מנוע יעודי אשר מבצע מגוון פעולות, modulation, scrambling, stream concatenation and more,. המנוע נדרש לעמוד גם בפעולות הנדרשות גם ל LTE-Cat1.
על סביבת הוריפיקציה החדשה לוודא שהמנוע עובד בכל מצבי ההפעלה השונים בצורה מדויקת כולל בדיקות ביצועים.

מטרת הפרויקט:

סביבת וריפיקציה שבודקת את הדיזיין בצורה מושלמת.

תכולת הפרויקט:

תכנון סביבת הוריפיקציה תוך שימוש בתשתיות הקיימות בחברה. על תשתיות אלו תבנה סביבת הוריפיקציה, שתעשה רנדומיזיציה של כל הקונפיגורציה, רנדומיזציה של המידע שנכנס לדיזיין, הפעלת פייטון עם כל מה שרונדם, השוואת תוצרי הפייטון עם מה שהדיזיין מוציא.

קורסי קדם:

אין

דרישות נוספות:

אין

מקורות:

  1.  תקן 5G: 38.212,
  2. LTE: 36.211 / 36.212
227 eDRAM architecture design with advanced refresh techniques
אחריות אקדמית:

הרקע לפרויקט:

זכרונות הם חלק עיקרי בצ'יפים היום ולכן נדרש תכנון רב בשביל לממש זכרון יעיל ביותר מבחינת שטח, מהירות וצריכת הספק. לכן נרצה לשפר ולייעל את הזכרון בכדי להשמיש אותו בצורה אופטימלית באפליקציות שונות.

מטרת הפרויקט:

זכרון זה, בעל אופי דינאמי, נדרש לבצע עליו רענון של מידע - מה שמגביל אותנו בהרבה תחומים ולכן נרצה לייעל את השיטת רענון.

תכולת הפרויקט:

המטרה היא לבנות ארכיטקטורה של זכרון דינאמי בעל יכולת רענון מידע בצרה יעילה בכדי לגבור על החסרונות ולהגיע לזכרון אופטימאלי. נחקור שיטות לביצוע רענון אשר חוסכות בשטח והספק על ידי סימולציות שונות והטמעתם במערכת שלמה.

קורסי קדם:

מעגלים משלובים או/ו מעגלים ספרתיים (יחד עם המעבדה)

דרישות נוספות:

ידע ב virtuoso

מקורות:

  1. Meinerzhagen, Pascal, Adam S. Teman, Robert Giterman, Noa Edri, Andreas Burg, and Alexander Fish. Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip / Pascal Meinerzhagen, Adam Teman, Robert Giterman, Noa Edri, Andreas Burg, Alexander Fish. Cham, Switzerland: Springer, 2018.
     
228 Efficient Hipper-Threaded RISCV Barrel processor
אחריות אקדמית:

הרקע לפרויקט:

עבור יישומים רבים נדרשת יכולת ניצול מקסימלי של משאבי המעבד להרצה במקביל של כמות גדולה ככל שניתן של תהליכים יחסית. במעבדים מסוג זה המטרה היא להשיג התפוקה מצטברת מקסימלית של כלל התהליכים הרצים כאשר זמן הריצה של כל תהליך שלעצמו מתחילתו ועד סוף הינו בעל חשיבות משנית.

מטרת הפרויקט:

פיתוח ומימוש גרסת תת-מעבד מזערי על בסיס ארכיטקטורת ריסק-5 אשר מספק יחס עלות/ביצוע אופטימלי להרצת כמות תהליכים מקבילה וכן התאמת סביבת כלי תכנון חמרה לשימוש נח במעבד.

תכולת הפרויקט:

הכרות מעמיקה עם ארכיטקטורת ריסק-5, תכנון ומימוש המעבד בשפת ורילוג וכלי סינתזה ועל FPGA, התאמת סביבת פיתוח תכנה למעבד, בדיקה של תפקוד המעבד בהשוואה למעבד מוטמע מקובל עבור מאפייני שימוש שונים.

קורסי קדם:

נדרשת שליטה בסיסית טובה וניסיון בתחומים הבאים: תכן לוגי, מבנה מחשבים, קידוד ורילוג , קידוד C ופייתון בסיסי

דרישות נוספות:

ניסיון עבודה עם FPGA יתרון.

מקורות:

  1. https://en.wikipedia.org/wiki/Barrel_processor  
  2. מאמר רלוונטי להמחשה (הבנת רשות נוירונים אינה דרישה לפרויקט) RISC-V Barrel Processor for Deep Neural Network Acceleration https://drive.google.com/file/d/1D3WYp4_MeOHBHNu-YjOlbAhwCTwfY6Qd/view?…
229 Software Development of Composite Countermeasures for Encryption Algorithms
אחריות אקדמית:

הרקע לפרויקט:

הפרויקט עוסק בהגנה על חומרה מפני התקפות צד שמטרתן לגלות את המפתח הסודי של המערכת. אלגוריתמים קריפטוגרפיים הממומשים בחומרה (או על מעבד) זולגים מידע רב מערוצי צד. תוקף מתוחכם המודד ערוצים אלו יכול לחלץ מידע סודי מהמערכת, ובפרט את מפתחות ההצפנה הסודיים.
על מנת להגן מפני מתקפות כאלו ניתן להשתמש בסוגי טכניקות שונות, כאשר ניתן לסווגן לשני סוגים: הסתרת (hiding) המידע מערוצי הצד או מיסוך (masking) המידע. טכניקת הסתרת המידע עוסקת בשיטות לצמצם את זליגת המידע או לפגוע ביכולת הסנכרון של התוקף, בעוד טכניקת מיסוך עוסקת בהכנסת אקראיות לחישוב כך שהאלגוריתם בפועל לא יהיה ידוע.

מטרת הפרויקט:

במסגרת פרויקט זה הסטודנטים ישלבו מספר הגנות על מנת לפגוע ביכולות אלו של התוקף. מטרת הפרויקט היא מימוש הגנות מתחום ה־masking וה־hiding על מנת להקשות על ביצוע תקיפת ערוצי צד.

תכולת הפרויקט:

במהלך הפרויקט הסטודנטים ירכשו ידע בנושא חומרה בטוחה. בחלקו הראשון של הפרויקט הסטודנטים ישמישו את מערכת ה־chip whisperer המאפשרת כתיבת קוד תוכנה ל־micro-processor ומדידת צריכת ההספק שלו, וכן ימדדו את צריכת ההספק מאלגוריתם הצפנה ויחלצו את המפתח הסודי בעזרת אנליזה סטנדרטית.
בחלק השני של הפרויקט הסטודנטים ישנו את אלגוריתם ההצפנה וישלבו בו הגנות בתחום ה־masking וה־hiding על מנת לבצע הגנה משולבת, וימדדו את הביצועים של כל הגנה.

קורסי קדם:

83682 מבוא לחומרה בטוחה מורחב

מקורות:

חומר הקורס 83682, מאמרים ומחקר אקדמי של מנחה הקורס.

230 Design of a compact and digital optical sensor for the detection of laser fault injection
אחריות אקדמית:

הרקע לפרויקט:

הפרויקט עוסק בהגנה על חומרה, ובפרט על שבבים, מפני התקפות חודרניות של הזרקות כשלים הנעשות בעזרת לייזר ממוקד. התקפות אלו מסייעות לתוקף לחלץ מידע סודי מאלגוריתם קריפטוגרפי על ידי יצירת שגיאות בנקודות שונות בחישוב.

רוב ההגנות הפיזיות מפני מתקפות כאלו אינן אפקטיביות מאחר ותוקף יכול בסופו של דבר לעקוף אותן, ולכן ההגנות הנפוצות עוסקות בזיהוי המתקפה ותגובה אליה. ניתן לחלק את סוג הזיהוי ל־2 – זיהוי עקיף של התקיפה, לדוגמה כתוצאה משגיאה בחישוב (בעזרת קודים לתיקון שגיאות) או זיהוי ישיר של התקיפה, לדוגמה בעזרת חיישן אופטי שמזהה את ההארה של הלייזר.

מטרת הפרויקט:

במסגרת פרויקט זה אנחנו נפתח חיישן מהסוג השני – חיישן אופטי ישיר שיזהה את ההארה של הלייזר. הייחודיות של חיישן זה הוא שהוא יהיה מורכב משערים דיגיטליים בלבד מה שיאפשר מימוש נוח ויעיל לצד הבלוק הדיגיטלי עליו הוא אמור להגן.

תכולת הפרויקט:

במהלך הפרויקט הסטודנטים ירכשו ידע בנושא חומרה בטוחה. בחלקו הראשון הם ילמדו את הרקע התאורטי וישחזרו את העבודה שנעשתה עד כה בנושא זה על בסיס מאמר שמנחה הפרויקט כתב. לאחר מכן הסטודנטים יפתחו את הרעיון ויציעו שיטות חדשניות להטמעת החיישן ולתכנון שלו המבוסס על תאי ספריה סטנדרטיים. העבודה תיעשה בסביבת הפיתוח של Cadence. תוצאות הפרויקט יבחנו בעזרת סימולציה של מודל המתקפה.

קורסי קדם:

83308 - מעגלים אלקטרוניים ספרתיים

דרישות נוספות:

83313 - מעגלים משולבים ספרתיים

מקורות:

https://ieeexplore.ieee.org/abstract/document/8763825

מחקר אקדמי של המנחה

231 Enhanced TCAM BIST Agent
אחריות אקדמית:

הרקע לפרויקט:

TCAM is a special type of computer memory used in certain very-high-speed searching applications. It is also known as associative memory or associative storage and compares input search data against a table of stored data, and returns the address of matching data. At Marvell a TCAM BIST unit connects to it in order to test it. In verification the interface between the TCAM and the TCAM BIST unit is written as an agent, which can be run in 3 modes: master (replacing the TCAM BIST unit), slave (replacing the TCAM) and monitor (observing transactions on the interface).

מטרת הפרויקט:

An existing TCAM BIST agent does not support all TCAM functionality in master/slave modes. Need to explore TCAM and TCAM BIST unit behaviour in order to implement the required missing modes.

תכולת הפרויקט:

Expansion of the agent with the aim of supporting the compare function, investigation of TCAM compare algorithms and implementation of the algorithms in the following agent

קורסי קדם:

  • משולבים 83313

דרישות נוספות:

אין

מקורות:

  1. Yu, Fang, Randy H. Katz, and Tirunellai V. Lakshman. "Gigabit rate packet pattern-matching using TCAM." Proceedings of the 12th IEEE International Conference on Network Protocols, 2004. ICNP 2004.. IEEE, 2004.
  2. Grigoryan, H., et al. "Generic BIST architecture for testing of content addressable memories." 2011 IEEE 17th International On-Line Testing Symposium. IEEE, 2011.
232 Design of dynamic logic families for low power and high-performance digital design in low temperatures
אחריות אקדמית:

הרקע לפרויקט:

עד כה פותחו בקבוצה שלנו מספר לוגיקות שמטרתן להשיג אופטימליות במהירות והספק של תכנון מעגלים דיגיטליים.

לוגיקת Dual Mode Logic הינה לוגיקה המשלבת בין לוגיקת cmos הנפוצה, ובין לוגיקה דינאמית, ברמת השער (nand/nor) וכדומה. בלוגיקה זו קיימים שני מצבי פעולה:

מצב סטטי- שבו יש חיסכון באנרגיה אך ביצועים נמוכים.
מצב דינמי- שבו הביצועים גבוהים אך צריכת האנרגיה גבוהה.
שילוב בין המצבים מביא לשיפור הן במהירות הפעולה והן בצריכת ההספק. הלוגיקה הראתה תוצאות טובות מאוד בטכנולוגיות שונות ובארכיטקטורות שונות.
מעבר ללוגיקת DML, ישנן עוד לוגיקות שפותחו במעבדה כמו DMPL (Dual Mode Pass Logic) שגם הראתה יעילות רבה.

בפרויקט נרצה לפתח ולהשתמש במגוון לוגיקות דינאמיות שיוכלו לתת שיפור מקסימלי במהירות ובצריכת ההספק עבור טמפרטורות נמוכות.

מטרת הפרויקט:

מימוש מעגלים דיגיטליים מהירים ודלי הספק באמצעות לוגיקות דינאמיות חדשניות לטמפרטורות נמוכות.

תכולת הפרויקט:

בחינת מימוש לוגיקות דינאמיות עבור יצירת מעגלים דיגיטליים בטמפרטורות נמוכות ובחינת ארכיטקטורות שונות באמצעותן.
העבודה הינה באמצעות תוכנת virtuoso בטכנולוגיות מתקדמות.

קורסי קדם:

  • קורס מעגלים ספרתיים 83-308
  • מעבדה למעגלים ספרתיים 83-315
  • מעגלים משולבים ספרתיים 83-313

מקורות:

  1. I. Levi and A. Fish, "Dual Mode Logic—Design for Energy Efficiency and High Performance," in IEEE Access, vol. 1, pp. 258-265, 2013, doi: 0.1109/ACCESS.2013.2262015.
  2. I. Stanger, N. Shavit, R. Taco, L. Yavits, M. Lanuzza and A. Fish, "Robust Dual Mode Pass Logic (DMPL) for Energy Efficiency and High Performance," 2020 IEEE International Symposium on Circuits and Systems (ISCAS), Seville, Spain, 2020, pp. 1-5, doi: 10.1109/ISCAS45731.2020.9181127.
232 Circuit Design for Cryogenic Oriented Applications
אחריות אקדמית:

הרקע לפרויקט:

תכנון מעגלים וההבנה שלהם הוא בסיס העשייה לקראת יצירת אפליקציות למטרות שונות. כיום, הצורך בתכנון מעלים שפועלים בטמפרטורות קריוגניות (כגון 77K ו-4.2K) תופס תאוצה עקב עלייה במספר התחומים שדורשים פעולה בתנאי קיצון כמו מחשוב קוונטי, תכנון דל הספק, חישת תמונה ועוד.

על מנת ליצור מעגל שיעבוד בצורה אופטימלית בטמפרטורות קריוגניות יש לעבוד עם מודלים עדכניים שמתחשבים בכל האפקטים שמשנים את התנהגות הטרנזיסטור בטווח הטמפרטורות הללו. כיום אין מודלים זמינים לכלי CAD המותאמים לטמפרטורות קריוגנית, לכן תכנון מעגלים מחייב למידה עמוקה של התהליכים הפיזיקליים והתאמת התכנון בעזרת יצירת קווי מנחה.

מטרת הפרויקט:

בפרויקט נצלול אל תוך תכנון מעגלים ומדידות קריוגניות. המעגלים שייבנו יועדו לפעול בצורה אופטימלית בטמפרטורות הקריוגניות, תוך מתן דגש על מטרת המעגל וטווחי הטמפרטורה שבו יפעל. המעגל הסופי יהיה ייחודי ויתרום רבות לביסוס תכנון מערכות המיועדות לאפליקציות קריוגניות.

תכולת הפרויקט:

על הסטודנטים לחקור על התהליכים שקורים בטמפרטורות קריוגניות מתוך מאמרים קיימים ולהבין את קווי המנחה לתכנון מעגלים. לאחר מכן על הסטודנטים להשתמש בקווי המנחה הללו כדי לבנות מעגלים שונים ואף לבצע מדידות על מנת להבין איך התהליכים הפיזיקלים ישפיעו על תכנון המעגל.

קורסי קדם:

מעגלים אלקטרונים ספרתיים, מעגלים משולבים ספרתיים, מעבדה למעגלים אלקטרוניים ספרתיים

מקורות:

  1. https://ieeexplore.ieee.org/abstract/document/10108221
  2. https://ieeexplore.ieee.org/abstract/document/9937556
  3. https://ieeexplore.ieee.org/abstract/document/7838410
233 Design of column-counter Single-slope ADC for CIS application
אחריות אקדמית:

הרקע לפרויקט:

Compact digital cameras now require a high pixel count, high imaging performance, and low power consumption. The advantages of a CMOS image sensor are low power and easy system integration with on-chip circuits.

High-speed CMOS image sensors with on-chip ADC have been developed, and the relatively simplified Single-Slope (SS) ADC is widely used in a variety of image sensors. The column-counter is one of the main building blocks of the SS-ADC, and its high-speed operation and low-power consumption operation are essential for meeting the imager requirements.

מטרת הפרויקט:

In this work the student plan to explore the state of-the art column counter architectures and design a high-speed and low power 10-bit column-parallel counter for SS -ADC.

תכולת הפרויקט:

  • Literature survey
  • Design consideration & analysis.
  • Design: schematic, spice simulations, (layout work is pending on available resources).

קורסי קדם:

83313

מקורות:

  1. 12-bit Column-Parallel Single-Slope ADCs with Operation-Period-Reduced Time-to-Digital Converters for CMOS Image Sensors, Tokyo, Japan.
  2. A Low-power 65/14nm Stacked CMOS Image Sensor, Samsung Electronics, Hwaseong, South Korea.
234 Advanced dynamic memory bitcells
אחריות אקדמית:

הרקע לפרויקט:

Gain-cell embedded DRAM (GC-eDRAM) is a dynamic storage technology that presents an alternative to standard SRAM for various applications. In this project, novel circuit techniques will be developed for GC-eDRAM based memories to improve performance, power, and area (PPA) costs.

מטרת הפרויקט:

Characterization of novel GC-eDRAM bitcell topology and physical design of memory array based on it.

תכולת הפרויקט:

This research project will include Virtuoso based simulation in advanced technology nodes, designing the array architecture and implementing it in physical layout

קורסי קדם:

מעגלים משולבים ספרתיים 83-313

דרישות נוספות:

מעגלי ומערכות וי.אל.אס.איי. דיגיטליים - 83-612

מקורות:

  1. P. Meinerzhagen, A. Teman, R. Giterman, N. Edri, A. Burg, and A. Fish, Gain-Cell Embedded DRAMs for Low-Power VLSI Systems-on-Chip. Berlin, Germany: Springer, 2018.
  2. Teman A, Meinerzhagen P, Burg A, Fish A (2012) Review and classification of gain cell eDRAM implementations. In: Proc. IEEE Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp 1–5
235 Efficient Hipper-Threaded RISCV Barrel processor
אחריות אקדמית:

הרקע לפרויקט:

עבור יישומים רבים נדרשת יכולת ניצול מקסימלי של משאבי המעבד להרצה במקביל של כמות גדולה ככל שניתן של תהליכים יחסית. במעבדים מסוג זה המטרה היא להשיג התפוקה מצטברת מקסימלית של כלל התהליכים הרצים כאשר זמן הריצה של כל תהליך שלעצמו מתחילתו ועד סוף הינו בעל חשיבות משנית.

מטרת הפרויקט:

פיתוח ומימוש גרסת תת-מעבד מזערי על בסיס ארכיטקטורת ריסק-5 אשר מספק יחס עלות/ביצוע אופטימלי להרצת כמות תהליכים מקבילה וכן התאמת סביבת כלי תכנון חמרה לשימוש נח במעבד.

תכולת הפרויקט:

הכרות מעמיקה עם ארכיטקטורת ריסק-5, תכנון ומימוש המעבד בשפת ורילוג וכלי סינתזה ועל FPGA, התאמת סביבת פיתוח תכנה למעבד, בדיקה של תפקוד המעבד בהשוואה למעבד מוטמע מקובל עבור מאפייני שימוש שונים.

קורסי קדם:

נדרשת שליטה בסיסית טובה וניסיון בתחומים הבאים: תכן לוגי, מבנה מחשבים , קידוד C ופייתון בסיסי. קידוד ורילוג ניתן להשלמה במהלך הפרויקט.

דרישות נוספות:

ניסיון עבודה עם FPGA יתרון.

מקורות:

  1. https://en.wikipedia.org/wiki/Barrel_processor מאמר רלוונטי להמחשה (הבנת רשות נוירונים אינה דרישה לפרויקט)
  2. RISC-V Barrel Processor for Deep Neural Network Acceleration
  3. https://drive.google.com/file/d/1D3WYp4_MeOHBHNu-YjOlbAhwCTwfY6Qd/view?…
     
236 Design computational system based on DNA
אחריות אקדמית:

הרקע לפרויקט:

ניתן לממש מעגלי חישוב אשר מבוססים על האינטראקציה בין מולקולות DNA . יחד עם זאת תכנון מעגלים מורכבים יותר המבוססים על DNA דורש הבנה מעמיקה בתחום הביולוגיה המולקולרית יחד עם יכולות תכנון וסימולציה מתקדמות

מטרת הפרויקט:

לבחון האם ניתן להשתמש בכלי שפותח במעבדה לתכנון של מערכת חישובית מורכבת המבוססת על דנ"א

תכולת הפרויקט:

סקר ספרות והכרות עם מאמרי מפתח בתחום חישוב דנ"א ועם כלי הסימולציה שפותח במעבדה.
תכנון באמצעות הכלי שתוכנן מערכת חישובית חדשנית.

קורסי קדם:

מעגלים משולבים

מקורות:

  1. A simple DNA gate motif for synthesizing large-scale circuits.
  2. Scaling Up Digital Circuit Computation with DNA Strand Displacement Cascades
     
237 Interface between iontronic and electronic systems
אחריות אקדמית:

הרקע לפרויקט:

רכיבים אשר מבוססים על ננו תעלות (רכיבים ננופלואידיים) שולטים בעזרת אות חשמלי בתנועתם של מולקולות טעונות. לאחרונה הראו שניתן לממש רכיבים כמו דיודות וטרנזיסטורים למימוש של מעגלים הדומים בהתנהגותם למעגלים אלקטרוניים. בעזרת רכיבים אלה הראנו כי ניתן לממש מעגל משולב שבו הזרם הוא זרם של יונים. מעגלים אלה נקראים מעגלים יונטרוניים משולבים. הכניסות והיציאות של מעגלים אלה מחוברים בעזרת אלקטרודות (שבהן מתרחש התהליך האלקטרוכימי) למערכת אלקטרונית. כחלק מהמאמץ להגדיל את המעגל היונטרוני יש ליצור ממשק יעיל ומיטבי בין המעגל המשולב היונטרוני לבין המערכת האלקטרונית

מטרת הפרויקט:

מימוש ממשק יעיל בין המערכת האלקטרונית למערכת היונטרונית ע"י תכנון של PCB Board המותאם לצרכי המערכת הפלואידית

תכולת הפרויקט:

  • סקר ספרות על מעגלים יונטרוניים משולביםמדידה של מעגל יונטרוני – אופיין IV ן EIS (באמצעי מדידה סטנדרטיים)
  • הבנת הצרכים של המערכת הפלואידית – (במידה ונצטרך לבצע תהליכים כימיים נוספים על גבי ה PCB על מנת ליצור ממשק חשמלי טוב יותר בין הבורד לבין הצ'יפ הננופלואידי הסטודנטים ילוו את התהליך)
  • הפעלת הבורד הייעודי שתוכנן לתקשורת עם המעגל הפלואידי והפעלת החומרה הדרושה לשם

קורסי קדם:

מעגלים משולבים

מקורות:

  1. Sabbagh, Barak, Noa Edri Fraiman, Alex Fish, and Gilad Yossifon. "Designing with Iontronic Logic Gates─ From a Single Polyelectrolyte Diode to an Integrated Ionic Circuit." ACS Applied Materials & Interfaces 15, no. 19 (2023): 23361-23370
238 Genomic Base-calling by Hardware Accelerated ML
אחריות אקדמית:

הרקע לפרויקט:

שלב ראשוני בריצוף גנומי בטכנולוגיות מתקדמות הינו מיפוי אות חישה חשמלי לרצף בסיסי הגנום הנדגם, בפרויקט זה נעזר בתשתית למידת מכונה ומאיצי חמרה לצורך שלב זה המכונה Basecalling

מטרת הפרויקט:

השלמת פיתוח פתרון מלא ל basecalling מבוסס למידת מכונה הנתמכת על ידי מאיץ חומרה.

תכולת הפרויקט:

יישום ואימון מודל למידת מכונה מבוססת רשת נוירונים לצורך מיפוי גנומי, הטמעה, שילוב ויישום הרשת בעזרת מאיץ חומרה.

קורסי קדם:

נדרשת שליטה טובה וניסיון בתחומים הבאים: תכן לוגי, קידוד C, ופייתון.

דרישות נוספות:

תידרש השלמת ידע עצמאית בלמידת מכונה, ותהליך ריצוף גנומי.

מקורות:

  1. https://nanoporetech.com/how-it-works/basecalling
  2. https://genomebiology.biomedcentral.com/articles/10.1186/s13059-019-172…
     
239 Gain-cell Applications
אחריות אקדמית:

הרקע לפרויקט:

This project pertains to different applications of gain-cells using dynamic memory. From a technological perspective, we will be looking at a gain-cell based DRAM and testing its functionality. Additionally, we will be exploring hardware security applications.

מטרת הפרויקט:

By the end of this project, we hope to understand more about the gain-cell applications within the field of DRAM performance and hardware security. More specifically, we will aim to fully qualify the PUF (Physical Unclonable Device) on the Leo-II chip.

תכולת הפרויקט:

  1. Designing and testing various gain-cell layouts in Virtuoso using different transistors.
  2. Learning to communicate with the Leo-II chip using C-Code and working in a terminal
  3. Using Matlab to take data points and present them in a clear and impressionable manner

קורסי קדם:

מעגלים ספרתיים

מקורות:

  1. https://ieeexplore.ieee.org/document/8951129
  2. https://ieeexplore.ieee.org/abstract/document/7372589
240 Analog BBICs
אחריות אקדמית:

הרקע לפרויקט:

This project will develop analog circuits which are detectors of laser and EM probing.

מטרת הפרויקט:

The goal of the project is to have a functional schematic and layout of the probing detector.

תכולת הפרויקט:

The student will develop the schematic in Virtuoso, simulate it and then perform layout. The layout will be validated using post-layout simulations.

קורסי קדם:

  • Linear Circuits.

מקורות:

D. Zooker, Y. Weizman, A. Fish and O. Keren, "Silicon Proven 1.29 μm × 1.8 μm 65nm Sub-Vt Optical Sensor for Hardware Security Applications," in IEEE Access, vol. 11, pp. 136269-136278, 2023

241 Hardware acceleration for AI computation
אחריות אקדמית:

הרקע לפרויקט:

יישומי בינה מלאכותית כגון זיהוי תמונה, ועיבוד שפה נדרשים לחישובים אריתמטיים אינטנסיביים המאופיינים על ידי מספר יחסית מצומצם של פונקציות מתמטיות ממוקדות הנקראות איטרטיבית וצורכות את מרבית משאבי החישוב. האצת חמרה ייעודית של פונקציות אלו יכולה ליעל את ביצועי תהליך החישוב באופן דרמטי מבחינת זמן תגובה ומשאבי אנרגיה.

מטרת הפרויקט:

בחירה של פונקציית חמרה להאצה בדגש על חישובים מקורבים לאקטיבציה הסתברותית דוגמת SoftMax

תכולת הפרויקט:

הגדרה, פיתוח ומימוש של המאיץ, מידול המאיץ, מדידה ושיפור רמת הדיוק של החישוב, הוכחת התכנות מימוש פיסי ע"י מימוש המאיץ בקוד ורילוג וסינתזה שלו.

קורסי קדם:

נדרשת שליטה טובה וניסיון בתחומים הבאים: תכן לוגי, קידוד C, ורילוג, ופייתון.

דרישות נוספות:

השתתפות בקורס "עקרונות של תכנון מערכות דיגיטליות" בסמסטר ב'

מקורות:

  1. Wikipedia – SoftMax Function
  2. Design Space Exploration for Softmax Implementations
  3. https://par.nsf.gov/servlets/purl/10197154
  4. Google Scholar - Search for Attention Is All You Need
242 Simulation of iontronic circuit
אחריות אקדמית:

הרקע לפרויקט:

רכיבים אשר מבוססים על ננו תעלות (רכיבים ננופלואידיים) שולטים בעזרת אות חשמלי בתנועתם של מולקולות טעונות. לאחרונה הראו שניתן לממש רכיבים כמו דיודות וטרנזיסטורים למימוש של מעגלים הדומים בהתנהגותם למעגלים אלקטרוניים. בעזרת רכיבים אלה הראנו כי ניתן לממש מעגל משולב שבו הזרם הוא זרם של יונים. מעגלים אלה נקראים מעגלים יונטרוניים משולבים. כיום לא קיימים כלי סימולציה המאפשרים לתכנן ולבחון מראש את ההתנהגות של מעגלים אלה, בדומה לכלים הקיימים בתחום האלקטרוניקה.

מטרת הפרויקט:

פרויקט המשך אשר מטרתו ליצור מודל חשמלי יעיל ואמין לרכיב הננופלואידי על מנת לחזות בצורה טובה את התנהגות המעגל היונטרוני המשולב

תכולת הפרויקט:

סקר ספרות על מעגלים יונטרוניים משולבים התנסות בכתיבת מודל בשפת וורילוג A וסימולציה בכלים לתכנון מעגלים אלקטרוניים.
היכרות עם האפשרויות השונות למדל רכיבים ננופלואידיים ומימוש האפשרות המתאימה ביותר. סימולציה של מעגל יונטרוני משולב והשוואה למדידות.

קורסי קדם:

  • מעגלים משולבים


מקורות:

Sabbagh, Barak, Noa Edri Fraiman, Alex Fish, and Gilad Yossifon. "Designing with Iontronic Logic Gates─ From a Single Polyelectrolyte Diode to an Integrated Ionic Circuit." ACS Applied Materials & Interfaces 15, no. 19 (2023): 23361-23370.

243 Applications of AI in Computational Spectroscopy
אחריות אקדמית:

הרקע לפרויקט:

בפרוייקט זה נעשה שימוש באלגוריתמים ובנתונים על מנת ליישם חיישני ספקטרום זעירים על שבב

מטרת הפרויקט:

יישום אלגוריתמים לייצוג, מיון ועיבוד נתונים לשם יישום של התקני חישה לספקטרום

תכולת הפרויקט:

יישום אלגוריתמים לייצוג, מיון ועיבוד נתונים

קורסי קדם:

מבוא ללמידת מכונה ו/או למידה עמוקה, תכנות בפייתון

דרישות נוספות:

כדאי לקחת קורסים בהתקני ננואלקטרוניקה, טכנולוגיות גרפן, טכנולוגיות גלאים

מקורות:

https://www.science.org/doi/full/10.1126/science.ade1220

פרויקטים נוספים מומלצים

309 Pump-probe based photonic super resolution approach for failure analysis of silicon wafers and integrated circuitry
מימוש שיטה פוטונית חדשנית של סופר רזולוציה לניטור תקלות בשבבי סיליקון במיקרו אלקטרוניקה
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

בתחום של ניתוח כשלים במעגלי מיקרו אלקטרוניקה ניסרקים שבבי הסיליקון עי מיקרוסקופ אופטי תוך הפעלת שני קרני אור. הקרן האחת המכונה probe היא בתחום הניראה שניבלע הסיליקון ומייצר נושאי מטעם חופשיים. הקרן השנייה המכונה pump היא באינפרא אדום קרוב שבמצב רגיל לא ניבלע בסיליקון אבל כתוצאה מיצירת האלקטרונים החופשיים, קרן זו מקבלת הפסדים ופיזורים הגורמים להצרות הכתם שנוצר. כך שבצמב של סריקת שבב הסיליקון עי שתי הקרניים בו זמנית אפשר למפות שת השבב ברזולוציה מרחבית גבוהה מאוד המתאימה למה שנידרש כדי למפות התקני ננו-אלקטרוניקה כנידרש באפליקציית ניתוח כשלים. הפרויקט כולל שימוש בתכונה לא לנארית אופטית הקורת בסיליקון לצרכי סופר רזולוציה ויישום יכולת זו לאפליקציית ניתוח כשלים במעגלים משולבים.

מטרת הפרויקט:

שיפור שיטת סופר רזולוציה לדימות שבבי סיליקון

תכולת הפרויקט:

ניסויי מעבדה לדימות משופר רזולוציה ל שבבי סיליקון, עיבוד תמונות

קורסי קדם:

מבוא לאופטיקה

מקורות:

H. Pinhas, O. Wagner, Y. Danan, M. Danino, Z. Zalevsky and M. Sinvani, “Plasma dispersion effect based super-resolved imaging in silicon,” Opt. Exp. 26, 25370-25380 (2018).

310 Incorporation of fiber-based water leakage detector
שילוב סיבים אופטיים לניטור נזילות מים מצינורות
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

במעבדה בוצע פיתוח של סנסור פוטוני המבוסס על סיב אופטי מיוחד שהדגים יכולת ראשונה לניטור נזילות של מים מצינור ומדידת שינויי ספיקה. יש רצון להרחיב יכולות אלו למדידה יותר נרחבת של ניטור צינורות מים. עיקרון הפעולה של סנסור הסיב הפוטוני כולל הזרקת אור דרך סיב מרובה מודים ומדידת שינוי בבניות ההתאבכות של המודים בינן לבין עצמם ביציאת הסיב. תבניות התאבכות אלו קשורות במעוותים שונים הנוצרים בסיב החישה ויכולים להעיד על מצב של נזילה בצנרת בה סיב החישה הותקן. ניתוח תבניות האור כולל הן ניתוח קלאסי והן הפעלה של אלגוריתמיקת למידת מכונה ובינה מלאכותית.

מטרת הפרויקט:

פיתוח סיב חישה חדיש

תכולת הפרויקט:

ביצוע ניסויי מעבדה וניתוח תוצאות ב מטלב

קורסי קדם:

מבוא לאופטיקה

מקורות:

A. Bennett, Ye. Beiderman, S. Agdarov, Ya. Beiderman, R. Hendel, B. Straussman and Z. Zalevsky, “Monitoring of vital bio-signs by analysis of speckle patterns in fabric-integrated multimode optical fiber sensor,” Opt. Exp. 28(14), 20830-20844 (2020).

311 Analyzing the effect of temporal coherence on a phase-reconstruction method
בחינת השפעת קוהרנטיות זמנית על מערכת לשחזור פאזה
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

The iterative multi-plane optical properties extraction (IMOPE) technique was designed to study opaque material in a noninvasive manner. It uses spatially coherent illumination on the sample and extract the optical properties (mainly the reduced scattering coefficient but also the absorption coefficient) of the measured samples. To do so, the technique uses the Gerchberg-Saxton algorithm in a multi-plane version to reconstruct the phase. The root mean square of the phase is then calculated and from a comparison with the theoretical model the optical properties of the sample can be extracted. The technique was used for detection of milk components, blood flow and tissue viability, anti-leishmania nanoparticles, nanodiamonds in different skin layers and more.

מטרת הפרויקט:

In this project the laser will be modulated in accordance with the camera, and the effect on the phase analysis will be examined.

תכולת הפרויקט:

  1. Learn the theoretical fundamentals of the technique.
  2. Learn how to operate the optical system.
  3. Learn how to analyze the phase image.
  4. Modulate the laser in accordance with the camera.
  5. Prepare calibration samples.
  6. Measure and analyze the samples.

קורסי קדם:

מבוא לאלקטרואופטיקה

מקורות:

  1. C. Shapira, I. Yariv, R. Ankri et al., “Effect of optical magnification on the detection of the reduced scattering coefficient in the blue regime: theory and experiments,” Optics Express, 29(14), 22228-22239 (2021).
  2. C. Shapira, I. Yariv, H. Duadi et al., "Extracting the reduced scattering coefficient in different optical magnifications in the blue regime: theory and experiments." 11976, 18-25.
  3. I. Yariv, H. Duadi, R. Chakraborty et al., “Algorithm for in vivo detection of tissue type from multiple scattering light phase images,” Biomedical optics express, 10(6), 2909-2917 (2019).
  4. I. Yariv, H. Duadi, and D. Fixler, “Optical method to extract the reduced scattering coefficient from tissue: theory and experiments,” Opt. Lett., 43(21), 5299-5302 (2018).
  5. I. Yariv, H. Duadi, and D. Fixler, [An optical method to detect tissue scattering theory, experiments and biomedical applications] SPIE, 1089105-1-9 (2019).
  6. I. Yariv, H. Duadi, and D. Fixler, “Depth Scattering Characterization of Multi-Layer Turbid Media Based on Iterative Multi-Plane Reflectance Measurements,” IEEE Photonics Journal, 12(5), 1-13 (2020).
  7. I. Yariv, M. Haddad, H. Duadi et al., “New optical sensing technique of tissue viability and blood flow based on nanophotonic iterative multi-plane reflectance measurements,” Int. J. Nanomed., 11, 5237-5244 (2016).
  8. I. Yariv, S. Kannan, Y. Harel et al., “Iterative optical technique for detecting anti-leishmania nanoparticles in mouse lesions,” Biomedical Optics Express, 12(7), 4496-4509 (2021).
  9. I. Yariv, Y. Kapp-Barnea, E. Genzel et al., “Detecting concentrations of milk components by an iterative optical technique,” J. Biophotonics, 8(11-12), 979-984 (2015).
  10. I. Yariv, G. Rahamim, E. Shliselberg et al., “Detecting nanoparticles in tissue using an optical iterative technique,” Biomed. Opt. Express, 5(11), 3871-3881 (2014).
  11. I. Yariv, C. Shapira, H. Duadi et al., “Media characterization under scattering conditions by nanophotonics iterative multiplane spectroscopy measurements,” ACS omega, 4(10), 14301-14306 (2019).
  12. I. Yariv, C. Shapira, H. Duadi et al., "Spectroscopy measurements of opaque material by nanophotonics iterative multi-plane technique." 11254, 121-128.
313 Analysis of Open Quantum System from various perspectives
ניתוח של מערכות קוונטיות פתוחות ממגוון נקודות מבט
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

רוב הטכנולוגיות הקוונטיות סובלות מבעיות ברגע שהמערכת הקוונטית מצומדת לסביבתה ונהיית פתוחה. לפיכך, קיימת חשיבות רבה לאפיון של מערכות קוונטיות פתוחות - כיצד פוחתת הקוהרנטיות שלהן וכיצד ניתן להקטין את האפקט הזה (ואולי אפילו להשתמש בו). הפרוייקט יבצע ניתוח השוואתי של דינמיקה קוונטית מכמה היבטים: הילוכים קוונטיים, ערכים חלשים מרוכבים והתניה ביחס לתת-מערכת במטרה להבין את החוזק היחסי של כל אחד מהתיאורים ולשפר את הטיפול במערכות קוונטיות פתוחות.

מטרת הפרויקט:

ההישג הצפוי הוא ניתוח של מערכות קוונטיות פשוטות יחסית שהן בעלות דינמיקה לא אוניטרית במובן של כימות הפגיעה בשזירה וקוהרנטיות וניסוח שיטות להתגבר על כך תוך שימוש במספר כלים תיאורטיים. התוצר העיקרי יהיה מערכת של חישובים שיתרמו להבנת הדינמיקה של מערכות קוונטיות פתוחות מכמה היבטים שונים. התוצר המשני יהיה הבנת הקשרים בין ההיבטים הללו וזיהוי החוזקות והחולשות של כל אחד מהם.

תכולת הפרויקט:

ראשית, הסטודנטים יכירו את הכלים העיוניים העיקריים בפרוייקטים זה: הילוכים קוונטיים, מדידות קוונטיות מסוגים שונים וכן מדדים שונים ל"קוונטיות". לאחר מכן ישתמשו בכלים אלו בכדי לנתח מערכות קוונטיות פתוחות ברמת מורכבות הולכת וגדלה (ככל שהתאפשר) ממספר נקודות מבט על מנת ליצור תיאור כמה שיותר עשיר ולהבין את הייחוד של כל פרספקטיבה. הפרוייקט יהיה תיאורטי לחלוטין ויתבסס ברובו על חישובים אנליטיים. היכן שנזדקק נשתמש בסימולציות נומריות.

קורסי קדם:

מכניקה קוונטית שימושית. יש לקחת במקביל את הקורס בחישוב קוונטי.

מקורות:

  1. https://www.nature.com/articles/s42005-022-01081-0
  2. https://www.mdpi.com/2673-3269/2/4/22
  3. http://quanta.ws/ojs/index.php/quanta/article/view/14
314 Deep learning for medical X-ray imaging
למידה עמוקה לטובת דימות רפואי ברנטגן
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

בשיתוף עם המעבדה של פרופ' שרון שוורץ, הקבוצה שלנו מפתחת שיטות חדשות לדימות רפואי ותעשייתי באמצעות קרני רנטגן בעלות מבנה מרחבי. הדגמנו שיפור ברזולוציה ובמסגרת סימולציה גם הורדה בכמות הקרינה המייננת. חלק חשוב בכיוון מחקר זה, וגם בפרויקט המוצע, הוא שימוש בלמידה עמוקה על מנת לאמן רשת נוירונים בכדי לשפר את שחזור התמונות שמתקבלות במעבדה.

מטרת הפרויקט:

ההישג המצופה הוא שחזור מוצלח של תמונות X-ray בקונטקסט רפואי תוך שימוש ב-dataset מוגבל ורועש. התוצר הסופי יהיה קוד עובד שבאמצעתו ניתן לקבל קלט חדש מהמעבדה ולייצר תמונה משוחזרת (תוך שימוש בלמידה מוקדמת של datasets דומים).

תכולת הפרויקט:

היכר עולמות התוכן הרלוונטיים: ghost imaging, compressed sensing, supervised learning. השוואה בין מספר ארכיטקטורות למימוש הרשת, אימון הרשת ובחינתה. הפרוייקט יכלול עבודה עם מידע סימולטיבי ומידע שהתקבל מגלאים במעבדת שוורץ ושחזור תמונות של עצמים כגון עצמות, מפרקים וכו'.

קורסי קדם:

השאיפה היא שלסטודנטים בפרוייקט יהיה ידע בסיסי באופטיקה ותכנות בפייתון וכן כמה שיותר קורסים בלמידת מכונה (ניתן לקחת במקביל).

מקורות:

https://arxiv.org/abs/2305.12468

315 Design and developing of photonic spectral splitters
תכנון ואפיון של מפצלים ספקטרליים בפוטוניקה משולבת
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

אופטיקה משולבת מאפשרת מזעור של מערכות אופטיות גדולות לממדים קטנים ושילובם על גבי שבבים אופטיים זעירים. על מנת לנצל את רוחב סרט המירבי ולהעביר כמות גדולה של אינפורמציה ניתן להעביר מספר אורכי גל בו זמנית באותו מוליך גל (ערוץ תקשורת באופטיקה משולבת) ולכן יש צורך בהתקנים לפיצול ואיחוד של מקורות אור שונים על גבי שבבים אופטיים. בפרויקט זה נלמד את שיטות שונות ומגבלות שלהם לפיצול ואיחוד של סיגנלים אופטיים באופטיקה משולבת.

מטרת הפרויקט:

תכנון מדגים לסינון וניתוב סיגנלים אופטיים לפי אורכי גל שלהם.

תכולת הפרויקט:

  • לימוד הנושא של מוליכי גלים והתקנים המבוססים עליהם באופטיקה משולבת
  • תכנון נומרי של הרכיבים
  • רכישת מיומנות במערך המדידה
  • ביצוע מדידות
  • התאמה בין תוצאות המדידות למודלים נומריים
  • כתיבת דו"ח מסכם

קורסי קדם:

  • שדות אלקטרומגנטיים
  • אופטיקה

דרישות נוספות:

  • ננו-פוטוניקה 83-678
  • תקשורת אופטית 83-466
  • מבוא לאופטיקה מודרנית
  • python or matlab


מקורות:

Li, A., Fainman, Y. On-chip spectrometers using stratified waveguide filters. Nat Commun 12, 2704 (2021). https://doi.org/10.1038/s41467-021-23001-6

319 Optical sensing of glucose from tissue mimicking scattering medium based on full scattering profile
חישה אופטית של סוכר מתווך מפזר מדמה רקמה באמצעות פרופיל פיזור הקפי
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

חילוץ פרמטרים פיזיולוגים אפשרי באמצעים אופטיים החשים בשינויי נפח וספקטרום בכלי דם המתבטאים בשינוי במקדם הבליעה. מדידת מבוססות בליעה אפשרית כיום רק בריבוי אורכי גל שכן בהתבסס על יחס בין מדידות, אולם צריך להתחשב בהבדלים בפיזור הקשים להפרדה. בעבר גילינו כי יש נקודה המכיילת פיזור ועל כן אופטימלית למדידות מבוססות בליעה. תופעה זו אומתה במדמי רקמה גליליים בקטרים המדמים אצבע, כמו גם במדידת אצבעות אנושיות וזרועות. השיטה האופטית שאנו מציעים היא שיטה חדשה לגילוי תכונות אופטיות מרקמות גליליות בהתבסס על פיזור העוצמה הזוויתי שלהן, מה שמכונה Full scattering profile (FSP), וזאת במטרה לשפר את הדיוק והרגישות של מדידת פרמטרים ביולוגיים, כגון דופק, רוויון חמצן (סטורציה), קצב נשימה, שונות קצב הלב, לחץ דם, וכדומה. בפרויקט זה נשתמש בשיטה זו לזיהוי סוכר. לסוכר אין בליעה אלא קיטוב יחודיים ביחס לרקמה. נרצה לבחון את השימוש בשיטה שלנו לזיהוי מבוסס על קיטוב.

מטרת הפרויקט:

להוכיח את האפשרות לזהות סוכר בטווח מפזר באמצעות פרופיל הפיזור המלא ובהתבסס על קיטוב.

תכולת הפרויקט:

בפרויקט זה הסטודנטים יבנו מערכת למדידת פרופיל פיזור מלא ויוסיפו לו מקטבים. כמו כן הם יכינו דוגמאות של מדמי רקמה בריכוזי פיזור שונים וכן עם תמיסת סוכר בריכוזים שונים .

קורסי קדם:

שדות אלקטרומגנטיים

דרישות נוספות:

בפרויקט זה הסטודנטים יבנו מערכת למדידת פרופיל פיזור מלא ויוסיפו לו מקטבים. כמו כן הם יכינו דוגמאות של מדמי רקמה בריכוזי פיזור שונים וכן עם תמיסת סוכר בריכוזים שונים .

מקורות:

Idit Feder, Hamootal Duadi, and Dror Fixler, "Single wavelength measurements of absorption coefficients based on iso-pathlength point," Biomed. Opt. Express 11, 5760-5771 , 2020

323 Probing quantum circuits using a magnetic sensor
אבחון דגמים בעלי תכונות קוונטיות בעזרת חיישן מגנטי
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

כדי לאבחן, להבין ולפתח מעגלים קוונטיים יש צורך במדידות לא פולשניות של פרמטרים פיזיקליים של המערכת. מגנטומטריה מאפשרת למפות תכונות אלקטרוניות בעזרת החותמת המגנטית שלהן, וללא מגע חשמלי ישיר עם הדגם. אחת הדרכים היא טכנולוגית הסקוויד, המאפשרת למפות תכונות אלקטרוניות בצורה מרחבית. אנו מתעניינים במערכות הבנויות ממוליכי על, ביניהן מערכי צמתי ג'וזפסון, והתקנים המתאימים לפיתוח ביטים קוונטים. במערכות אלה הגודל שאנו חפצים למפות הוא צפיפות נושאי המטען מוליכי-העל. במדידה כזו מבנה החיישן מוטמע במדידה עצמה, ויש צורך להבינו ולחלץ את הצפיפות.

מטרת הפרויקט:

בפרויקט זה נפתח שיטה לאבחון ליבת החיישן המודד, וטיפול בהשפעתה, נעשה שימוש בחיישן ה SQUID על מנת להבין את יחסי הזרם פאזה של טבעות מולכות-על והתקנים אחרים, ובנוסף נבדוק את השפעת גיאומטריית החיישן על התוצאות.

תכולת הפרויקט:

שתמש בחיישן הSQUID על מנת להסתכל על דגמים אשר יאפשרו הבנה מעמיקה של הפיזיקה והתכונות הקוונטיות של מוליכי על וצומתי ג'וזפסון בדגש על יחסי זרם פאזה, נפתח דגם אבחון ותוכנה שמחלצת את צפיפות מוליך העל מתמונות סוספטביליות מגנטית, וניישם את הטכנולוגיה שפיתחנו על רשתות של מוליכי על.

קורסי קדם:

מכניקה קוונטית שימושית / קוונטים 1. מומלץ לקחת במקביל את חישוב קוונטי (לא חובה)

מקורות:

  1. https://onlinelibrary.wiley.com/doi/book/10.1002/3527603646
  2. https://en.wikipedia.org/wiki/Josephson_effect
507 Photonic integrated circuits in silicon nitride
מעגלים פוטוניים משולבים בסיליקון ניטריד
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

Photonic integrated circuits represent key enabling technology for the continued growth of data communication, wireless networks, autonomous driving, sensors, and quantum technologies. Silicon nitride is a material platforms that supports the realization of photonic circuits with low losses and high quality. It serves for the filtering and processing of information channels, and also in quantum-optics, laser range finders, and the generation of advanced light sources. The project includes the simulation, design, fabrication (at an external foundry), and characterization of a custom photonic circuit.

מטרת הפרויקט:

The expected outcome is a working photonic integrated circuit that is designed, simulated, characterized and discussed by the project students.

תכולת הפרויקט:

Study of optical waveguides; analysis of optical waveguides and integrated devices; numerical analysis of modes propagation and devices transfer functions; design of circuits using commercial software platforms, which also electrical circuits; preparation of production files; testing of fabricated devices; critical analysis and consideration of measurement results.

קורסי קדם:

מבוא לאופטיקה. תקשורת אופטית (במקביל). תמסורת גלים (במקביל). מערכות ליניאריות.

מקורות:

סיכומי המרצה (פרופ' צדוק) בקורס "פוטוניקה בסיליקון".

708 Efficient Neural Networks on Off The Shelf devices
רשתות לומדות יעילות על רכיבי מדף
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

תהליכי למידה והסקה הפכו להיות כלי מרכזי במגוון תחומי דעת. מימוש אלגוריתמים וארכיטקטורות ללמידת מכונה כגון רשתות ניורונליות באופן יעיל במשאבים הפך להיות קריטי כיוצא מהשימוש הנרחב וטביעת האצבע האנרגטית וכו'. רכיבי קצה דלים במשאבים קרדינליים למגוון אפליקציות רלוונטיות לטכנולוגיות אלו כגון רכיבים עתירי רגשים, בפרדיגמה הזו עלינו לאפשר חישוב יעיל אנרגטי עם פגיעה חסומה בשיהוי וצריכת זכרון יעילה. אם כך האצת חישובים דרושים היא בעיה ראויה למחקר והתקדמות.

מטרת הפרויקט:

מטרת הפרויקט הכללית הינה שיפור היכולת של מעבדי קצה להתמודד עם רשתות בתקורה מינימאלית וניצול יעיל של המשאבים הנתונים להם.

תכולת הפרויקט:

  • הסטודנטים ינתחו מספר אלגוריתמים וארכיטקטורות.
  • הסטודנטים יוסיפו על גבי סביבת מעבד מודולורי פקודות מיוחדות ל ISA בשפה עילית השגורות כפרוצדורות.
  • הסטודנטים יוסיפו תמיכה יעילה ב SEQUENCING של תהליכי החישוב וניהול הזכרון\המשקולות
  • הסטודנטים יבצעו השוואה לפתרון קיים וינתחו את שיפור הביצועים באופן כללי.

קורסי קדם:

  • מיקרו מעבדים

דרישות נוספות:

יתרון ארכיטקטורת מחשבים מתקדמת

מקורות:

  1. V Verma.,"EXTREM-EDGE—EXtensions To RISC-V for Energy-efficient ML inference at the EDGE of IoT"
901 Implementation of a neural network for base calling in real time
מימוש של רשת נוירונים לקריאת בסיסים ממרצף בזמן אמת
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

כיום מרצפים הפכו למשהו מאוד זמין והם מוציאים כמויות אדירות של מידע, כ 10 מליון דגימות זרם בשנייה (10MHz). על מנת להמיר את הזרמים לבסיסים ולהתמודד עם המידע מנתחים אותו באמצעות GPU-ים חזקים ויקרים ומידע ממרצפים רבים וחזקים. ההצעה של הפרוייקט היא באמצעות רשת נוירונים לתת תרגום מיידי לזרמים שמוציא המרצף לבסיסים. כאשר מדובר במרצף יחיד כאמור, אין צורך להתחרות על כוח עיבוד, רק לעמוד בדרישה של ניתוח בקצב של 10MHz כדי לנתח את המידע שיוצא מהמרצף בזמן אמת ולהוציא בסיסים בזמן אמת.

מטרת הפרויקט:

מטרת הפרוייקט היא לממש רשת נוירונים שתבצע base calling של גינומים בקצב שיתאים ל real time של מרצף.

תכולת הפרויקט:

להבין את האלגוריתם שבעזרתו מבוצע base calling, להבין איך עובדת רשת נוירונים, לממש את רשת הנוירונים בחומרה כך שתבצע את האלגוריתם ולהדגים פעולה.

קורסי קדם:

מעגלים משולבים
מעגלים אלקטרוניים ספרתיים

דרישות נוספות:

ידע בורילוג או קורס ב DDP

מקורות:

CoViT: Real-time phylogenetics for the SARS-CoV-2 pandemic using Vision Transformers

902 Accelerating Matrix Operations in Hardware and Evaluation of Acceleration on Advanced Software Algorithms
האצת פעולות מטריציוניות בחמרה ובחינת ההאצה של פתרונות אלו בתכנה
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

פעולות על מטריצות קריטיות במערכות חישוב (* עיבוד אותות, תקשורת, קריפטוגרפיה, למידת מכונה). אמנם ישנן מערכות חישוב כגון GPUים המותאמות במידה מסוימת להיות יעילות עבור פעולות אלו (שחלוף, מכפלת מטריצות, כפל וקטור במטריצה DOT-PRODUCT וכו', TRACE) הן לא יעילות עבור כולן ועבור גדלים וייצוגים מסוימים, והן יקרות או לא מתאימות לשילוב בכל מערכת חישוב או סביבה.

בפרויקט זה אנו נתכנן מאיצים מיוחדים לפעולות אלו, נשלב אותם בסביבות מעבדים גנריים ונבדוק הפעלת אלגוריתמים מתקדמים ושיפור הביצועים באפליקציות קצה.

מטרת הפרויקט:

ניתוח האצה על החמרה וגם בסביבת הפעלת תכנה. בניית פרימיטיביים יעילים העושים שימוש במשאבי החישוב המודולריים של המעבד\ארכיטקטורה הגנרית שלנו.

תכולת הפרויקט:

הסטודנטים יעבדו על גבי ארכיטקטורת מעבד מתקדמת וגנרית הניתנת להרחבה, יבנו מקרויים של ISA ותיאור ה HIGHLEVEL קוד שלהם (C). לבסוף עבור הפרימיטיביים הנמוכים ביותר יבנו קוד חמרה (לא הכרחי). החלק המשמעותי בפרויקט יהיה הרצת אלגוריתמים מתקדמים שבחרנו * בקוד בשפה גבוה (C) על גבי הסביבה, בחינת שיפור ביצועים, השוואה וניתוח.

קורסי קדם:

קורסי ארכיטקטורה או ארכיטקטורה מתקדמת (מומלץ אך לא חובה ויכולים לקחת בסמסטר א)

דרישות נוספות:

חובה יכולות טכניות, מימוש קוד והבנת מערכות מבוססות מעבד.
יכולת הפשטה והבנת עיקר ותפל –הסטודנטים ייבחנו גם ביכולתם לנתח אלגוריתמים וסיבוכיות ללא הכרת האפליקציה לעומק. ולכן יתאימו סטודנטים יצירתיים ושאפתניים.

יכולות תכנותיות גבוהות והבנת קוד.
נכונות להיקף עבודה משמעותי.

מקורות:

יינתנו למתאימים ספרי שימוש בפלטפורמה שלנו ומקורות מדויקים לכל פרימיטיב שנבנה

903 Softmax hardware accelerator
מאיץ חומרתי לפונקצית softmax
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

בחמשת השנים האחרונות חלה התקדמות משמעותית במודלים ללמידה עמוקה. מודל הTransformer המבוסס על מנגנון הAttention הוא הגורם המשמעותי ביותר לכך. על אף ההתקדמות, מודל זה דורש משאבי חומרה רבים. הגורמים המרכזיים לכך הם כמות הפרמטרים (GPT3 למשל דורש 175 מיליארד פרמטרים), שימוש בייצוג floating point (IEEE 754) ושימוש בפונקציית ה softmax.

מטרת הפרויקט:

בפרוייקט זה נחקור שיטות שונות למימוש יעיל של פונקציית הsoftmax. המשתתפים ילמדו על שיטות מימוש שונות החל מרמת האלגוריתם, דרך מימוש בחומרה ולבסוף מדידת תוצאות. תוך כדי הפרוייקט הסטודנטים יחקרו את ההשפעה של מימוש השיטות השונות על המטריקות:(PPA) Power, Performance, Area.

תכולת הפרויקט:

  • כתיבת אלגוריתם יעיל לביצוע פעולת softmax
  • מימוש חומרתי בשפת ורילוג של האלגוריתם המוצע
  • סינטזה למימוש החומרתי ומדידת תוצאות
  • בדיקת נכונות ברמת הGate-level
  • סינטזה לכרטיס FPGA
  • מדידת תוצאות על כרטיס הFPGA


קורסי קדם:

מעגלים אלקטרוניים ספרתיים (83308), מעבדה למעגלים אלקטרוניים ספרתיים (83315), מעגלים משולבים ספרתיים (83313)

דרישות נוספות:

שפת ורילוג, ידע בלמידת מכונה, כתיבת קוד בשפת C

מקורות:

  1. I. Kouretas and V. Paliouras, "Simplified Hardware Implementation of the Softmax Activation Function," 2019 8th International Conference on Modern Circuits and Systems Technologies (MOCAST), Thessaloniki, Greece, 2019, pp. 1-4, doi: 10.1109/MOCAST.2019.8741677.
  2. Y. Gao, W. Liu and F. Lombardi, "Design and Implementation of an Approximate Softmax Layer for Deep Neural Networks," 2020 IEEE International Symposium on Circuits and Systems (ISCAS), Seville, Spain, 2020, pp. 1-5, doi: 10.1109/ISCAS45731.2020.9180870.
  3. Nilsson, Peter, Ateeq Ur Rahman Shaik, Rakesh Gangarajaiah and Erik Hertz. “Hardware implementation of the exponential function using Taylor series.” 2014 NORCHIP (2014): 1-4. https://www.eit.lth.se/sprapport.php?uid=805

 

904 Design of radiation hardened LDPC encoder and decoder in hardware
מימוש של מקודד ומפענח LDPC חסין לקרינה בחומרה
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

Low-Density Parity Check (LDPC) codes represent a class of error correcting codes that may be employed for providing error correction. Using LDPC codes, channel capacities that are close to the theoretical Shannon Limit can be achieved.

Hardware in space faces many challenges that can cause a bit-flip and errors to be found in the memory and thus an ECC of some sort is needed.

LDPC encoder and decoder can be used as an ECC for memory arrays and the interest of this project is to actually implement this in hardware using radiation hardening by design techniques.

מטרת הפרויקט:

The goal of this project is to design an LDPC encoder and decoder in hardware that will implement radiation hardening techniques that will be learned during the project.

תכולת הפרויקט:

Design and implement an LDPC encoder and decoder in hardware.

קורסי קדם:

  • מעגלים אלקטרונים ספרתיים

מקורות:

  1. https://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=1204466
905 Approximate Processors – Extending proximate computing abilities for conventional processors SW connection
הרחבת מעבדים ביכולות לחישוב מקורב– שיפור ביצועים באפליקציות הניתנות להקרבת דיוק
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

המטרה הכללית היא ליישם מערכת יעילה אנרגטית למגוון אפליקציות המוגבלות במשאבים, חשבו על מעקב אחרי לווין בחלל, עיבוד תמונה בטלסקופ, מעקב אחרי מקורות ודוברים ברכיבי קצה או LIDAR שצריך להיות מאוד מאוד מהיר.

קירוב על חשבון מהירות הוא טריידאוף נפוץ. הפרויקט אב בנושא זה (חישוב מקורב על מעבדים) מכיל שני תתי פרויקטים: בשני הפרויקטים הסטודנטים נוגעים באבני בנין מסוימות ובונים וריאנטים אשר מבצעים את הפקודה הדרושה עם רמות שונות של "קירוב" או אי- דיוק בחישוב. הפרויקט הראשון (פרויקט זה) עוסק במחברים, מכפלים, מעלה בריבוע ו MACים והפרויקט השני מתמקד במודולו וחלוקה. בנוסף, הפרויקט השני שם יותר דגש על חיבור אפליקציות היעד והרצת BENCHMARKS ב C מעל" המעבד שלנו. כלומר אפליקציות המוכנות לספוג את השגיאה הרצויה.

מטרת הפרויקט:

רוב עבודות קודמות בתחום מי משו מעגלי קירוב או בחמרה ייעודית או בתכנה (מעל לאבסטרקציית "המעבד") אך נדיר ששילבו במעבד ובזאת זוג הפרויקטים האלו ייחודיים. כמו גם הגישה ההוליסטית של מתן מגוון פונקציונליות מקורבת שהמערכת תומכת בה ( בשונה מספרות קודמת בתחום ).

תכולת הפרויקט:

למידת סביבת המעבדים היחודית שלנו, קריאת לא מעט ספרות והגדרת ארכיטקטורה ומימוש הרחבות לפעולות המקורבות על בסיס המעבד (והקוד העילי המתאר אותן), כמו כן מימוש קוד מעטפת לספק השוואה ובדיקת יעילות בשפה עילית.

קורסי קדם:

קורס אריתמטיקה למחשב הכרחי

דרישות נוספות:

ידע קודם בסיסי בתכנות ותכן קוד \ אסמבלי, ותכן חמרה הינם יתרון.

מקורות:

מקורות מתקדמים ויותר ספציפיים יינת נו למועמדים רלוונטיים.

908 Transformer accelerator
מאיץ טרנספורמר
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

Transformers have been a game changer in the field of deep learning. Chatbots such as ChatGPT are transformer-based. Transformers carry extremely high computational requirements hence accelerating them, especially in edge applications, is critical

מטרת הפרויקט:

To design an energy efficient transformer accelerator for edge applications

תכולת הפרויקט:

  1. transformer algorithm study,
  2. state of the art study
  3. definition of requirements
  4. architecture definition
  5. logic design
  6. simulation and verification
  7. synthesis and physical design
  8. pre-silicon evaluation

מקורות:

Material on the Internet

910 Genomic analysis accelerating using neural network
מאיץ אנליזה גינומית ברשת נוירונים
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

Using deep learning for genome analysis has emerged as a powerful and promising approach in the field of bioinformatics and computational biology. It involves applying deep neural networks, a subset of machine learning techniques, to analyze and extract valuable information from genomic data.

מטרת הפרויקט:

The goal of this project is to build and demonstrate a genome classification using deep learning accelerator and show a comparison to existing models.

תכולת הפרויקט:

לבנות מאיץ לאנליזה גינומית כגון קלסיפיקציה, תוך שימוש ברשתות למידה עמוקה עם מודלים כמו טרנספורמר

קורסי קדם:

מבוא להנדסת תוכנה ומחשבים

מקורות:

  1. https://ieeexplore.ieee.org/abstract/document/10260684
911 Modeling and prediction of gene interaction networks by using formal verification tools
מידול וחיזוי אינטרקציות ברשת גנטית ע"י שימוש בכלי ווריפיקציה פורמלית
מנחה:
אחריות אקדמית:

הרקע לפרויקט:

רשת גנטית היא למעשה רשת בוליאנית ולכן יש דמיון מסוים למעגלים דיגיטליים. המוטיבציה היא להשתמש בכלים החזקים שיש בתחום ה vlsi על מנת ללמוד על הרשת הביולוגית.

מטרת הפרויקט:

בניית תשתית לתרגום אוטומטי של רשת גנטית לשפת חומרה וביצוע סימולציה פורמלית לרשת

תכולת הפרויקט:

בחלק הראשון המחקר יתמקד ב״תרגום״ הרשת הגנטית לשפת חומרה (ככל הנראה ורילוג) ובשלב השני שימוש בכלי בדיקה וסימולציה לבחינת הרשת.

קורסי קדם:

מעגלים משולבים

דרישות נוספות:

ידע בשפת חומרה

מקורות:

  1. Yordanov, Boyan, et al. "A method to identify and analyze biological programs through automated reasoning." NPJ systems biology and applications 2.1 (2016): 1-16.